Новости

Intel VS Samsung VS TSMC становится все более и более интенсивным.

2024-07-21

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Эта статья была составлена ​​на основе материалов полуинжиниринга издательством Semiconductor Industry Perspective (ID: ICVIEWS).

По мере того как преимущества плоского масштабирования уменьшаются, конкуренция OEM-производителей в трехмерной области и новых технологиях усиливается.

Три передовых производителя — Intel, Samsung и TSMC — начали заполнять некоторые ключевые части своих планов, добавляя агрессивные сроки поставок для будущих поколений чипов и создавая основу для значительного повышения производительности и ускорения разработки нестандартных конструкций. закладывает фундамент.

В отличие от прошлого, когда существовала только одна отраслевая дорожная карта, которая определяла, как перейти к следующему технологическому узлу, сегодня три крупнейших литейных завода все чаще прокладывают свой собственный путь. Все они движутся в одном общем направлении: с 3D-транзисторами и корпусами, рядом технологий масштабирования и более крупной и разнообразной экосистемы. Но некоторые ключевые различия проявляются в их подходе, архитектуре и сторонней поддержке.

Дорожные карты всех трех показывают, что масштабирование транзисторов будет продолжаться, по крайней мере, в диапазоне 18/16/14 ангстрем, с возможным переходом от нанолистовых и межкристальных полевых транзисторов (FET) к комплементарным полевым транзисторам (CFET) в некоторых случаях. точка в будущем. Ключевыми факторами являются искусственный интеллект/машинное обучение и увеличение количества данных, которые необходимо обрабатывать, что в большинстве случаев будет включать обработку массивов элементов, часто с высоким уровнем избыточности и однородности, для достижения более высокой пропускной способности.

В других случаях эти конструкции могут содержать десятки или сотни микросхем, некоторые для конкретных типов данных, а другие для более общей обработки. Чипы можно монтировать на подложку в конфигурации 2,5D. Этот подход получил распространение в центрах обработки данных, поскольку упрощает интеграцию памяти с высокой пропускной способностью (HBM), а также получил распространение в мобильных устройствах, где, среди прочего, Примеры включают датчики изображения, источники питания и дополнительную цифровую логику для некритических функций. Все три литейных завода работают над созданием полноценных 3D-ИС. Также будут доступны гибридные варианты, в которых логика размещается поверх логики и монтируется на подложку, но отдельно от других функций, чтобы минимизировать физические воздействия, такие как нагрев — эта гетерогенная конфигурация известна как 3.5D и 5.5D.

Быстрая и массовая настройка

Одним из самых больших изменений является вывод на рынок специализированных проектов быстрее, чем раньше. Это может показаться обыденным, но для многих передовых чипов это необходимость конкурировать и требует фундаментальных изменений в том, как чипы проектируются, производятся и упаковываются. Чтобы этот подход заработал, потребуется сочетание стандартов, инновационных решений по подключению и множества инженерных дисциплин, которые в прошлом взаимодействовали ограниченно или вообще не взаимодействовали друг с другом.

Иногда называемая «массовой настройкой», она включает в себя обычные компромиссы между мощностью, производительностью и площадью/стоимостью (PPA/C), а также варианты быстрой сборки. Это перспектива гетерогенных компонентов микросхем, и с точки зрения масштабирования это знаменует собой следующий этап закона Мура. Вся полупроводниковая экосистема постепенно закладывала основу для этого перехода на протяжении более десяти лет.

Но заставить разнородные чипы (по сути, защищенные IP от нескольких поставщиков и литейных заводов) работать вместе — это инженерная задача, которая одновременно необходима и сложна. Первым шагом является последовательное соединение микросхем для достижения предсказуемых результатов, и именно здесь литейные предприятия прикладывают много усилий, особенно в стандартах Universal Chip Interconnect Express (UCIe) и Bundle of Wire (BoW). Хотя эта возможность подключения является ключевым требованием для всех трех, она также является одной из основных областей разногласий.

Пока 3D-IC не будет полностью интегрирован, текущим решением Intel является разработка того, что инсайдеры отрасли называют чиповым «сокетом». Вместо того, чтобы характеризовать каждый чип для коммерческого рынка, компания определяет спецификации и интерфейсы, чтобы производители чипов могли разрабатывать эти крошечные чипы с ограниченной функциональностью в соответствии с этими спецификациями. Это решает главное препятствие на рынке коммерческих чипов. От скорости передачи данных до управления теплом и шумом — все должно работать вместе.

Подход Intel во многом опирается на встроенный многочиповый межблочный мост (EMIB), который компания впервые представила в 2014 году. «Самое замечательное в базе EMIB — это то, что вы можете добавлять столько чипов, сколько захотите», — сказала Лалита Имманени, вице-президент по развитию технологий Intel. «У нас нет ограничений на количество IP, которое можно использовать в базе. дизайн, и он не увеличивает размер промежуточного устройства, поэтому он экономически эффективен и не зависит от процесса. Мы предоставляем комплект для проектирования сборки, похожий на традиционный сборочный PDK, и даем им правила проектирования, справочный поток, и мы рассказываем им, какие конструкции разрешены. Они предоставляют все необходимые аксессуары для сборки».

В зависимости от конструкции в корпусе может быть несколько EMIB, дополненных термоинтерфейсными материалами (TIM) для рассеивания тепла, которое может задерживаться внутри корпуса. TIM, обычно это площадки, предназначенные для отвода тепла от источника, становятся все более распространенными по мере того, как объем вычислений внутри корпуса увеличивается, а подложки становятся тоньше, чтобы сократить расстояние, которое необходимо пройти сигналам.

Но чем тоньше подложка, тем менее эффективно она рассеивает тепло, что приводит к температурным градиентам, которые зависят от рабочей нагрузки и, следовательно, их трудно предсказать. Для отвода этого тепла могут потребоваться TIM, дополнительные радиаторы или даже более экзотические методы охлаждения, такие как микрофлюидика.

И TSMC, и Samsung предлагают мосты. Samsung встраивает мосты в RDL (метод, называемый 2.3D или I-Cube ETM) и использует их для подключения подсистем к этим мостам, чтобы ускорить срок службы кремния. Некоторая работа по интеграции будет выполняться заранее в заведомо исправных модулях, а не полагаться на методы сокетов.

«Объединение двух, четырех или восьми процессоров в систему — это то, что умеют делать очень опытные клиенты», — сказал генеральный директор Arm Рене Хаас во время основного выступления на недавнем мероприятии Samsung Foundry. «Но если вы хотите создать SoC, который имеет такую ​​возможность. 128 подключений кНейронные сети ЦП, структура памяти, контроллер прерываний, который взаимодействует с NPU, и внекристальная шина, которая подключается к другому чипу, — все это требует большой работы. За последние полтора года мы видели, как много людей создавали эти сложные SoC и хотели от нас большего. "

Samsung также формирует альянсы с более мелкими поставщиками чипов для выхода на определенные рынки. Первоначальная концепция заключалась в том, что одна компания будет производить микросхемы ввода-вывода, другая — межсоединения, а третья — логику, и когда этот подход окажется осуществимым, другие компании присоединятся, чтобы предоставить клиентам больше возможностей.

TSMC опробовала ряд различных подходов, включая мостовое соединение RDL и без RDL, разветвление, 2,5D-чип на пластине-подложке (CoWoS) и систему на интегрированном чипе (SoIC), концепцию 3D-IC, в которой чипы используют очень Короткие соединительные линии упаковываются и укладываются внутри подложки. Фактически, TSMC предлагает комплекты для проектирования процессов практически для каждого применения и активно создает комплекты для проектирования сборок для усовершенствованной упаковки, включая сопутствующие эталонные проекты.

Проблема заключается в том, что заказчики литейного производства, желающие инвестировать в эти сложные пакеты, все чаще требуют индивидуальных решений. Для достижения этой цели TSMC представила новый язык под названием 3Dblox — нисходящий подход к проектированию, который сочетает в себе физические структуры и структуры соединений, позволяя применять утверждения между ними. Такой подход «песочницы» позволяет клиентам использовать любой из методов упаковки — InFO, CoWoS и SoIC. Это также имеет решающее значение для бизнес-модели TSMC, поскольку компания является единственным литейным предприятием среди трех, хотя и Intel, и Samsung в последние месяцы дистанцировались от литейного бизнеса.

«Мы начали с концепции модульности», — сказал Джим Чанг, вице-президент TSMC по передовым технологиям и разработке масок, во время демонстрации, когда 3Dblox впервые будет запущен в 2023 году. «Мы можем использовать этот синтаксис языка плюс утверждения для создания полного стека 3D-IC».

Чанг сказал, что причиной этого является отсутствие согласованности между инструментами физического проектирования и проектирования соединений. Но он добавил, что как только этот подход будет разработан, он также позволит повторно использовать чип в различных конструкциях, поскольку большинство функций уже четко определены, а конструкции являются модульными.

Рис. 1. Подход TSMC 3Dblox.Источник: ТСМК

Впоследствии в декабре 2023 года Samsung запустила собственный язык описания системы 3DCODE. И Samsung, и TSMC заявляют, что их языки являются стандартами, но они больше похожи на новые платформы литейных правил, поскольку языки вряд ли будут использоваться за пределами их собственных экосистем. Подход Intel 2.5D не требует нового языка, поскольку правила продиктованы спецификацией сокета, и он допускает некоторую настройку за счет более быстрого выхода на рынок и более простого подхода для разработчиков чипов.

Чип Челлендж

Чипы имеют очевидные преимущества. Их можно спроектировать независимо на любом приемлемом технологическом узле, что особенно важно для аналоговых возможностей. Но как соединить все части воедино и получить предсказуемые результаты, было серьезной проблемой. Первоначальное предложение DARPA по архитектуре, похожей на Lego, оказалось гораздо более сложным, чем первоначально предполагалось, и для его реализации потребовалось бы много постоянных усилий со стороны более широкой экосистемы.

Чипсеты должны быть точно синхронизированы, чтобы критически важные данные можно было обрабатывать, сохранять и извлекать без задержек. В противном случае могут возникнуть проблемы со временем, когда одно вычисление задерживается или не синхронизируется с другими вычислениями, что приводит к задержкам и потенциальным взаимоблокировкам. В критически важных приложениях или приложениях с точки зрения безопасности секунда потери может иметь серьезные последствия.

Упрощение процесса проектирования — чрезвычайно сложная задача, особенно для проектов, специфичных для предметной области, поскольку единых стандартов не существует. Цель этих трех литейных заводов — предоставить больше возможностей компаниям, разрабатывающим высокопроизводительные чипы с низким энергопотреблением. По оценкам, от 30% до 35% всех передовых разработок в настоящее время принадлежат крупным системным компаниям, таким как Google, Meta, Microsoft и Tesla. Экономика передовых чипов и дизайна корпусов претерпела значительные изменения. Формула PPA/C и компромиссы также существенно изменились.

Чипы, разработанные для этих системных компаний, не могут продаваться на коммерческой основе. Таким образом, если они смогут достичь более высокой производительности на ватт, затраты на проектирование и производство могут быть компенсированы более низкой мощностью охлаждения и более высоким коэффициентом использования, а также, возможно, меньшим количеством серверов. Для чипов, продаваемых для мобильных устройств и коммерческих серверов, верно обратное: высокие затраты на разработку могут быть амортизированы за счет крупносерийного производства. Индивидуальный дизайн современной упаковки имеет экономические преимущества для обеих сторон, но по совершенно разным причинам.

Уменьшить, увеличить и уменьшить масштаб

Предполагается, что в этих сложных системах микросхем будет использоваться несколько типов процессоров: некоторые узкоспециализированные, а другие более общего назначения. Из-за ограниченного бюджета мощности, по крайней мере, некоторые из них, вероятно, будут разработаны на современных технологических узлах. Усовершенствованные узлы по-прежнему обеспечивают более высокую энергоэффективность, что позволяет разместить больше транзисторов в одной области для повышения производительности. Это критически важно для приложений AI/ML, поскольку для более быстрой обработки большего количества данных требуется больше операций умножения/накопления в высокопараллельной конфигурации. Транзисторы меньшего размера обеспечивают большую энергоэффективность, позволяя выполнять больше операций на квадратный миллиметр кремния, но требуют изменений в структуре затвора для предотвращения утечек, поэтому появляются вилочные полевые транзисторы и CFET.

Короче говоря, лидерство процессов по-прежнему имеет ценность. Быть первым на рынке с использованием передовых процессов — это хорошо для бизнеса, но это лишь часть большой головоломки. Все три литейных завода объявили о планах перейти к процессам на уровне ангстрема. Intel планирует запустить процесс 18A в этом году, а процесс 14A — несколько лет спустя.

Рисунок 2. Дорожная карта процессов Intel.Источник: Литейный завод Intel.

Между тем, TSMC добавит A16 в 2027 году (см. рисунок 3 ниже).

Рис. 3. Дорожная карта масштабирования TSMC, вступающая в эпоху ангстрема.Источник: ТСМК

Samsung увеличит разрешение до 14 ангстрем в своем SF1.4 примерно в 2027 году, очевидно, пропустив 18/16 ангстрем. (См. рисунок 4)

Рисунок 4. Дорожная карта расширения процессов Samsung.Источник: Литейный завод Samsung.

С точки зрения технологического узла все три литейных завода идут по одному пути. Но прогресс больше не ограничивается лишь узлами процессов. Все большее внимание уделяется задержке и производительности на ватт в зависимости от предметной области, и именно здесь логическое стекирование получает преимущества в настоящей конфигурации 3D-IC, используя гибридные связи для соединения чипов с подложкой и друг с другом. Перемещение электронов по проводам на планарном чипе по-прежнему происходит быстрее всего (при условии, что сигналы не должны перемещаться от одного конца чипа к другому), но размещение транзисторов поверх других транзисторов неоптимально, а в некоторых случаях даже лучше, чем планарный. SoC Лучше, поскольку некоторые вертикальные пути прохождения сигнала могут быть короче.

В недавней презентации Тэджун Сон, вице-президент по развитию литейного бизнеса Samsung Foundry, представил дорожную карту, в которой используется технология наложения логики, которая монтируется на подложку для интеграции 2-нм (SF2) чипов с 4-нм (SF4X) чипами, которые объединены, оба смонтированы. на другой подложке. По сути, это 3D-IC в корпусе 2.5D, который представляет собой концепцию 3.5D или 5.5D, упомянутую ранее. Сонг сказал, что литейный завод начнет устанавливать SF1.4 на SF2P в 2027 году. Что особенно привлекательно в этом подходе, так это возможность рассеивания тепла. Отделив логику от других функций, тепло можно отводить от сложенных микросхем через подложку или любую из пяти открытых граней.

Рисунок 5: 3D-IC-архитектура Samsung для искусственного интеллекта.Источник: Самсунг

Тем временем Intel будет использовать свой Foveros Direct 3D для совмещения логики поверх логики, как при личном, так и при личном общении. Согласно последнему официальному документу Intel, этот подход позволяет соединять чипы или пластины разных литейных заводов с пропускной способностью, определяемой расстоянием между медными проводами. В документе говорится, что в первом поколении будет использоваться расстояние между медными проводами 9 мкм, а во втором поколении — расстояние 3 мкм.

Рисунок 6: Intel Foveros Direct 3D.Источник: Интел

«Real 3D-IC поставляется с Foveros, а также с гибридными ключами», — сказал Имманени из Intel. «Вы не можете пойти традиционным путем проектирования и собрать все воедино, а затем проверить и обнаружить: «Ой, у меня проблема. Вы больше не можете этого делать, потому что вы потратите свое время». Рынок. Итак, вы действительно хотите предоставить песочницу, чтобы сделать ее предсказуемой, но даже до того, как я перейду к этой среде детального проектирования, я хочу провести механический/электрический/термический анализ, чтобы не было обрывов или замыканий. нагрузка 3D-IC больше связана с разработкой кода, чем с исполнением».

Foveros позволяет размещать активные логические чипы поверх другого активного или пассивного чипа, используя базовый чип для соединения всех чипов в корпусе с шагом 36 микрон. Intel утверждает, что, используя передовую технологию сортировки, она может гарантировать 99% заведомо исправных чипов и 97% результатов испытаний после сборки.

Между тем, CoWoS от TSMC используется NVIDIA и AMD для усовершенствованной упаковки своих чипов искусственного интеллекта. CoWoS, по сути, представляет собой 2.5D-подход, в котором используется промежуточный преобразователь для соединения SoC и памяти HBM через кремниевые переходные отверстия. Планы компании в отношении SoIC еще более амбициозны: логическая память упаковывается в 3D-IC на переднем конце производственной линии вместе с другими элементами, такими как датчики. Это может значительно сократить время сборки нескольких слоев, размеров и функций. TSMC утверждает, что ее схема соединения обеспечивает более быстрые и короткие соединения, чем другие методы 3D-IC. В одном отчете утверждается, что Apple будет использовать технологию SoIC TSMC, начиная со следующего года, а AMD расширит использование этого подхода.

Другие инновации

Существующие технологии обработки и упаковки открывают двери для более широкого спектра конкурентоспособных вариантов. В отличие от прошлого, когда крупные производители микросхем, поставщики оборудования и компании EDA определяли дорожную карту чипов, мир небольших чипов предоставляет конечным потребителям инструменты для принятия этих решений. Во многом это связано с разницей в количестве функций, которые можно поместить в пакет, и количества функций, которые можно поместить в ограничения маски SoC. При необходимости пакеты можно масштабировать по горизонтали или по вертикали, а в некоторых случаях их производительность можно повысить за счет вертикального планирования.

Но учитывая огромные возможности в облаке и периферии, особенно по мере того, как искусственный интеллект становится все более распространенным, три основных литейных завода и их экосистемы стремятся разрабатывать новые возможности и функции. В некоторых случаях для этого требуется использовать уже имеющиеся ресурсы. В других случаях требуются совершенно новые технологии.

Samsung, например, начала детализировать планы создания специального HBM, который будет включать в себя стек 3D DRAM с настраиваемым логическим слоем под ним. Такой подход применяется уже второй раз. Еще в 2011 году Samsung и Micron совместно разработали гибридные кубы памяти, в которых стек DRAM размещался на логическом уровне. После того, как JEDEC сделал HBM стандартом, HBM выиграл войну, а HMC практически исчез. Но в подходе HMC нет ничего плохого, просто выбрано неподходящее время.

Samsung планирует предложить индивидуальный HBM в качестве опции в новом форм-факторе. Память является одним из ключевых факторов, определяющих производительность, а способность быстрее читать, записывать и перемещать данные в память и из процессора, а также процессора, может оказать существенное влияние на производительность и энергопотребление. Эти цифры можно значительно улучшить, если размер памяти соответствует конкретной рабочей нагрузке или типу данных, а также если некоторая обработка может выполняться внутри модуля памяти, поэтому требуется перемещать меньше данных.

Рисунок 7. Дорожная карта и инновации Samsung. Источник: Semiconductor Engineering/MemCon, 2024 г.

Тем временем Intel работает над лучшим способом питания плотно упакованных транзисторов, что является постоянной проблемой, поскольку плотность транзисторов и количество металлических слоев увеличиваются. Раньше питание подавалось сверху чипа, но на самых продвинутых узлах возникают две проблемы. Одна из них — это обеспечение достаточной мощности для каждого транзистора. Второй — шум, который может исходить от блока питания, подложки или электромагнитных помех. Без надлежащего экранирования, которое становится все сложнее с каждым новым узлом по мере того, как диэлектрики и провода становятся тоньше, шум может повлиять на целостность сигнала.

Питание через заднюю часть чипа сводит к минимуму такие проблемы и уменьшает перегрузку линии. Но это также порождает другие проблемы, например, как просверлить отверстия в более тонких подложках, не повредив структуру. Intel, по-видимому, решила эти проблемы и планирует в этом году выпустить решение для заднего источника питания PowerVia.

TSMC заявила, что планирует внедрить задний источник питания A16 в 2026/2027 году. График Samsung примерно такой же, и он обеспечит заднее питание по 2-нм техпроцессу SF2Z.

Intel также объявила о планах по выпуску стеклянных подложек, которые обеспечивают лучшую плоскостность и меньший процент дефектов, чем CMOS. Это особенно важно на продвинутых узлах, где даже наноразмерные ямы могут вызвать проблемы. Как и в случае с питанием спины, проблем с обработкой предостаточно. Преимущество заключается в том, что стекло имеет тот же коэффициент теплового расширения, что и кремний, поэтому оно совместимо с расширением и сжатием кремниевых компонентов, таких как чипы. После многих лет пренебрежения стекло внезапно стало очень привлекательным. Фактически, и TSMC, и Samsung работают над стеклянными подложками, и вся отрасль начинает проектировать со стеклом, обращаться с ним, не разбивая его, и проверять.

В то же время TSMC придает большое значение построению экосистемы и расширению своих технологических продуктов. Многие инсайдеры отрасли говорят, что реальным преимуществом TSMC является ее способность предоставлять комплекты разработки практически для любого процесса или пакета. По данным Nikkei, литейный завод производит около 90% самых современных чипов в мире. У него также самый передовой опыт упаковки и самая большая и обширная экосистема среди всех литейных предприятий, что немаловажно.

Эта экосистема имеет решающее значение. Индустрия микросхем очень сложна и разнообразна, и ни одна компания не может сделать все это. Вопрос в будущем заключается в том, насколько полными будут эти экосистемы, особенно если количество процессов продолжит расти. Например, поставщики EDA являются важными факторами, а группам разработчиков необходима автоматизация для успеха любого процесса или подхода к упаковке. Но чем больше вариантов процессов и пакетов, тем сложнее поставщикам EDA поддерживать каждое постепенное изменение или улучшение, и время задержки между объявлением и доставкой может быть больше.

в заключение

Недавние сбои в цепочках поставок и геополитика убедили Соединенные Штаты и Европу в том, что им необходимо вернуть производство домой и «дружественную миграцию». Инвестиции в полупроводниковые фабрики, оборудование, инструменты и исследования беспрецедентны. Влияние, которое это окажет на три крупнейших литейных завода, еще предстоит увидеть, но это, безусловно, дает некоторый стимул для новых технологий, таких как сборная оптика, множество новых материалов и криогенные вычисления.

Влияние всех этих изменений на долю рынка отследить становится все труднее. Дело уже не в том, какой завод производит чипы на самом маленьком технологическом узле или даже в том, сколько чипов отгружается. Расширенный пакет может включать десятки чиплетов. Настоящим ключом к успеху является возможность быстро и эффективно предоставлять клиентам важные решения. В некоторых случаях решающим фактором является производительность на ватт, тогда как в других случаях может потребоваться время для достижения результатов, а энергопотребление является второстепенным фактором. В других случаях может оказаться, что только один ведущий литейный завод может предоставить достаточное количество комбинаций функций. Но очевидно, что конкуренция среди литейщиков стала гораздо более сложной, чем когда-либо, и она становится все более сложной. В этом чрезвычайно сложном мире простые сравнительные показатели больше не применимы.

*Отказ от ответственности: Эта статья была создана первоначальным автором. Содержание статьи является его личным мнением. Наша перепечатка предназначена только для распространения и обсуждения. Это не означает, что мы с ней согласны или согласны. Если у вас есть возражения, обращайтесь в бэкэнд.