Νέα

Intel VS Samsung VS TSMC γίνεται όλο και πιο έντονο.

2024-07-21

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Αυτό το άρθρο συντάχθηκε από το Semiengineering από την Semiconductor Industry Perspective (ID: ICVIEWS)

Καθώς τα πλεονεκτήματα της επίπεδης κλίμακας μειώνονται, ο ανταγωνισμός OEM στον τρισδιάστατο τομέα και στις νέες τεχνολογίες εντείνεται.

Τρία χυτήρια αιχμής—Intel, Samsung και TSMC—άρχισαν να συμπληρώνουν ορισμένα βασικά κομμάτια των χαρτών πορείας τους, προσθέτοντας επιθετικές ημερομηνίες παράδοσης για τις μελλοντικές γενιές τεχνολογίας τσιπ και θέτοντας τις βάσεις για σημαντικά βελτιωμένες επιδόσεις και ταχύτερη παράδοση προσαρμοσμένων σχεδίων βάζει τα θεμέλια.

Σε αντίθεση με το παρελθόν, όταν υπήρχε μόνο ένας οδικός χάρτης της βιομηχανίας που καθόριζε τον τρόπο μετάβασης στον επόμενο κόμβο διεργασιών, σήμερα τα τρία μεγαλύτερα χυτήρια χαράζουν όλο και περισσότερο τους δικούς τους δρόμους. Όλα κινούνται προς την ίδια γενική κατεύθυνση, με τρισδιάστατα τρανζίστορ και συσκευασία, μια σειρά τεχνολογιών ενεργοποίησης και κλιμάκωσης και ένα μεγαλύτερο, πιο ποικιλόμορφο οικοσύστημα. Ωστόσο, προκύπτουν ορισμένες βασικές διαφορές στην προσέγγισή τους, την αρχιτεκτονική και την υποστήριξη τρίτων.

Οι χάρτες πορείας και από τα τρία δείχνουν ότι η κλιμάκωση των τρανζίστορ θα συνεχιστεί τουλάχιστον στο εύρος 18/16/14 angstrom, με πιθανή μετατόπιση από τρανζίστορ πεδίου φαινομένου νανοφύλλων και cross-chip (FET) σε συμπληρωματικά τρανζίστορ φαινομένου πεδίου (CFET) σε ορισμένα σημείο στο μέλλον. Οι βασικοί οδηγοί είναι η τεχνητή νοημοσύνη/μηχανική μάθηση και ο πολλαπλασιασμός των δεδομένων που πρέπει να υποβληθούν σε επεξεργασία, η οποία στις περισσότερες περιπτώσεις περιλαμβάνει την επεξεργασία συστοιχιών στοιχείων, συχνά με υψηλά επίπεδα πλεονασμού και ομοιογένειας, για την επίτευξη υψηλότερης απόδοσης.

Σε άλλες περιπτώσεις, αυτά τα σχέδια μπορεί να περιέχουν δεκάδες ή εκατοντάδες τσιπ, άλλα για συγκεκριμένους τύπους δεδομένων και άλλα για πιο γενική επεξεργασία. Τα τσιπ μπορούν να τοποθετηθούν σε ένα υπόστρωμα σε διαμόρφωση 2.5D, μια προσέγγιση που έχει κερδίσει την έλξη στα κέντρα δεδομένων επειδή απλοποιεί την ενσωμάτωση της μνήμης υψηλού εύρους ζώνης (HBM) και έχει επίσης κερδίσει έδαφος σε κινητές συσκευές όπου, μεταξύ άλλων, Παραδείγματα περιλαμβάνουν αισθητήρες εικόνας, τροφοδοτικά και πρόσθετη ψηφιακή λογική για μη κρίσιμες λειτουργίες. Και τα τρία χυτήρια εργάζονται σε ολοκληρωμένα 3D-IC. Και θα υπάρχουν επίσης διαθέσιμες υβριδικές επιλογές, όπου η λογική στοιβάζεται πάνω από τη λογική και τοποθετείται στο υπόστρωμα, αλλά χωριστά από άλλες λειτουργίες για την ελαχιστοποίηση των φυσικών επιπτώσεων, όπως η θερμότητα - αυτή η ετερογενής διαμόρφωση είναι γνωστή ως 3.5D και 5.5D.

Γρήγορη και μαζική προσαρμογή

Μία από τις μεγαλύτερες αλλαγές είναι να φέρουμε στην αγορά σχέδια για συγκεκριμένο τομέα πιο γρήγορα από ό,τι στο παρελθόν. Αυτό μπορεί να ακούγεται εγκόσμιο, αλλά για πολλά τσιπ αιχμής είναι αναγκαιότητα να ανταγωνίζονται και απαιτεί θεμελιώδεις αλλαγές στον τρόπο με τον οποίο σχεδιάζονται, κατασκευάζονται και συσκευάζονται τα τσιπ. Για να λειτουργήσει αυτή η προσέγγιση, θα απαιτηθεί ένας συνδυασμός προτύπων, καινοτόμων λύσεων συνδεσιμότητας και πολλαπλών κλάδων μηχανικής που είχαν, στο παρελθόν, περιορισμένη ή καθόλου αλληλεπίδραση μεταξύ τους.

Μερικές φορές αναφέρεται ως "μαζική προσαρμογή", περιλαμβάνει τις συνήθεις αντισταθμίσεις ισχύος, απόδοσης και περιοχής/κόστους (PPA/C), καθώς και επιλογές ταχείας συναρμολόγησης. Αυτή είναι η υπόσχεση για ετερογενή στοιχεία τσιπ, και από την άποψη της κλιμάκωσης, σηματοδοτεί την επόμενη φάση του νόμου του Moore. Ολόκληρο το οικοσύστημα ημιαγωγών θέτει σταδιακά τα θεμέλια για αυτή τη μετάβαση για περισσότερο από μια δεκαετία.

Αλλά η συνεργασία ετερογενών τσιπ - ουσιαστικά σκληρυμένης IP από πολλούς προμηθευτές και χυτήρια - είναι μια πρόκληση μηχανικής που είναι τόσο απαραίτητη όσο και τρομακτική. Το πρώτο βήμα είναι η σύνδεση των τσιπς μεταξύ τους με συνεπή τρόπο για την επίτευξη προβλέψιμων αποτελεσμάτων, και εδώ τα χυτήρια καταβάλλουν μεγάλη προσπάθεια, ειδικά στα πρότυπα Universal Chip Interconnect Express (UCIe) και Bundle of Wire (BoW). Ενώ αυτή η συνδεσιμότητα είναι βασική απαίτηση και για τα τρία, είναι επίσης ένας από τους κύριους τομείς διαφωνίας.

Μέχρι να ενσωματωθεί πλήρως το 3D-IC, η τρέχουσα λύση του χυτηρίου της Intel είναι να αναπτύξει αυτό που οι γνώστες του κλάδου αποκαλούν "πρίζα" τσιπ. Αντί να χαρακτηρίζει κάθε τσιπ για την εμπορική αγορά, η εταιρεία ορίζει προδιαγραφές και διεπαφές έτσι ώστε οι πωλητές τσιπ να μπορούν να αναπτύξουν αυτά τα μικροσκοπικά τσιπ με περιορισμένη λειτουργικότητα για να πληρούν αυτές τις προδιαγραφές. Αυτό λύνει ένα σημαντικό εμπόδιο στην εμπορική αγορά τσιπ. Από την ταχύτητα δεδομένων μέχρι τη διαχείριση θερμότητας και θορύβου, όλα πρέπει να συνεργάζονται.

Η προσέγγιση της Intel βασίζεται σε μεγάλο βαθμό στη γέφυρα ενσωματωμένης διασύνδεσης πολλαπλών κυκλωμάτων (EMIB), την οποία παρουσίασε για πρώτη φορά το 2014. «Το πραγματικά ωραίο με τη βάση EMIB είναι ότι μπορείτε να προσθέσετε όσα τσιπ θέλετε», δήλωσε η Lalitha Immaneni, αντιπρόεδρος ανάπτυξης τεχνολογίας στην Intel σχεδίαση, και δεν αυξάνει το μέγεθος του παρεμβολέα , επομένως είναι οικονομικά αποδοτικό και αγνωστικό για τη διαδικασία. και τους λέμε ποιες είναι οι επιτρεπόμενες δομές. Παρέχουν τυχόν αξεσουάρ που χρειαζόμαστε για να το συναρμολογήσουμε.»

Ανάλογα με τη σχεδίαση, μπορεί να υπάρχουν πολλαπλά EMIB σε μια συσκευασία, συμπληρωμένα από υλικά θερμικής διεπαφής (TIM) για να διαχέεται θερμότητα που μπορεί να παγιδευτεί μέσα στη συσκευασία. Τα TIM, συνήθως επιθέματα που έχουν σχεδιαστεί για να μεταφέρουν τη θερμότητα μακριά από την πηγή, γίνονται πιο κοινά καθώς ο όγκος υπολογισμού μέσα σε ένα πακέτο αυξάνεται και τα υποστρώματα γίνονται πιο λεπτά για να συντομεύσουν την απόσταση που χρειάζονται τα σήματα για να διανύσουν.

Αλλά όσο πιο λεπτό είναι το υπόστρωμα, τόσο λιγότερο αποτελεσματικό είναι στη διάχυση της θερμότητας, γεγονός που οδηγεί σε θερμικές κλίσεις που εξαρτώνται από το φόρτο εργασίας και επομένως είναι δύσκολο να προβλεφθούν. Για την αφαίρεση αυτής της θερμότητας ενδέχεται να απαιτούνται TIM, πρόσθετες ψύκτρες ή ακόμα πιο εξωτικές μέθοδοι ψύξης, όπως μικρορευστοποιήσεις.

Τόσο η TSMC όσο και η Samsung προσφέρουν γέφυρες. Η Samsung ενσωματώνει γέφυρες στο RDL (μια μέθοδος που ονομάζεται 2.3D ή I-Cube ETM) και τις χρησιμοποιεί για να συνδέσει υποσυστήματα σε αυτές τις γέφυρες για να επιταχύνει τη διάρκεια ζωής του πυριτίου. Ορισμένες εργασίες ολοκλήρωσης θα γίνουν εκ των προτέρων σε γνωστές-καλές μονάδες αντί να βασίζονται σε μεθόδους υποδοχής.

«Ο συνδυασμός δύο, τεσσάρων ή οκτώ CPU σε ένα σύστημα είναι κάτι που οι πολύ ώριμοι πελάτες ξέρουν πώς να κάνουν», είπε ο Διευθύνων Σύμβουλος της Arm Rene Haas κατά τη διάρκεια μιας κεντρικής ομιλίας σε πρόσφατη εκδήλωση του Samsung Foundry 128 συνδέσεις μεΝευρωνικά δίκτυα Η CPU, η δομή της μνήμης, ο ελεγκτής διακοπής που συνδέεται με το NPU και ο δίαυλος εκτός τσιπ που συνδέεται με ένα άλλο τσιπ, τότε αυτό απαιτεί πολλή δουλειά. Τον τελευταίο ενάμιση χρόνο, έχουμε δει πολλούς ανθρώπους να κατασκευάζουν αυτά τα πολύπλοκα SoC και να θέλουν περισσότερα από εμάς. "

Η Samsung έχει επίσης σχηματίσει συμμαχίες μικρότερων προμηθευτών chip για να στοχεύσει συγκεκριμένες αγορές. Η αρχική ιδέα ήταν μια εταιρεία να κάνει τα τσιπ I/O, μια άλλη να κάνει τη διασύνδεση και μια τρίτη να κάνει τη λογική, και όταν αυτή η προσέγγιση αποδεικνυόταν εφικτή, άλλες εταιρείες θα συμμετείχαν για να δώσουν στους πελάτες περισσότερες επιλογές.

Η TSMC έχει δοκιμάσει μια σειρά από διαφορετικές προσεγγίσεις, όπως RDL και non-RDL bridging, fan-out, 2.5D Chip on Wafer Substrate (CoWoS) και System on Integrated Chip (SoIC), μια ιδέα 3D-IC στην οποία τα τσιπ χρησιμοποιούν πολύ Οι σύντομες γραμμές διασύνδεσης συσκευάζονται και στοιβάζονται μέσα στο υπόστρωμα. Στην πραγματικότητα, η TSMC προσφέρει κιτ σχεδιασμού διεργασιών για σχεδόν κάθε εφαρμογή και δημιουργεί ενεργά κιτ σχεδιασμού συναρμολόγησης για προηγμένες συσκευασίες, συμπεριλαμβανομένων των συνοδευτικών σχεδίων αναφοράς.

Η πρόκληση είναι ότι οι πελάτες χυτηρίου που είναι πρόθυμοι να επενδύσουν σε αυτά τα πολύπλοκα πακέτα θέλουν ολοένα και περισσότερο εξατομικευμένες λύσεις. Για να το πετύχει αυτό, η TSMC εισήγαγε μια νέα γλώσσα που ονομάζεται 3Dblox, μια προσέγγιση σχεδίασης από πάνω προς τα κάτω που συνδυάζει φυσικές δομές και δομές σύνδεσης, επιτρέποντας την εφαρμογή ισχυρισμών μεταξύ των δύο. Αυτή η προσέγγιση sandbox επιτρέπει στους πελάτες να αξιοποιήσουν οποιαδήποτε από τις μεθόδους συσκευασίας της - InFO, CoWoS και SoIC. Είναι επίσης κρίσιμο για το επιχειρηματικό μοντέλο της TSMC, καθώς η εταιρεία είναι το μόνο καθαρό χυτήριο μεταξύ των τριών -- αν και τόσο η Intel όσο και η Samsung έχουν αποστασιοποιηθεί από την επιχείρηση χυτηρίου τους τελευταίους μήνες.

«Ξεκινήσαμε με την έννοια της αρθρωτής», είπε ο Jim Chang, αντιπρόεδρος προηγμένης τεχνολογίας και μηχανικής μασκών της TSMC, κατά τη διάρκεια μιας επίδειξης όταν το 3Dblox λανσαρίστηκε για πρώτη φορά το 2023. "Μπορούμε να χρησιμοποιήσουμε αυτή τη σύνταξη της γλώσσας και τις ισχυρισμούς για να δημιουργήσουμε μια πλήρη στοίβα 3D-IC."

Ο Chang είπε ότι ο λόγος για αυτό είναι η έλλειψη συνέπειας μεταξύ των εργαλείων σχεδιασμού φυσικών και συνδέσεων. Αλλά πρόσθεσε ότι μόλις αναπτυχθεί η προσέγγιση, θα καταστήσει επίσης δυνατή την επαναχρησιμοποίηση του τσιπ σε διαφορετικά σχέδια, επειδή τα περισσότερα από τα χαρακτηριστικά είναι ήδη καλά καθορισμένα και τα σχέδια είναι αρθρωτά.

Εικόνα 1: Η προσέγγιση 3Dblox της TSMC.Πηγή: TSMC

Η Samsung κυκλοφόρησε στη συνέχεια τη δική της γλώσσα περιγραφής συστήματος 3DCODE τον Δεκέμβριο του 2023. Τόσο η Samsung όσο και η TSMC ισχυρίζονται ότι οι γλώσσες τους είναι πρότυπα, αλλά μοιάζουν περισσότερο με νέες πλατφόρμες κανόνων χυτηρίου επειδή οι γλώσσες είναι απίθανο να χρησιμοποιηθούν εκτός των δικών τους οικοσυστημάτων. Η προσέγγιση 2.5D της Intel δεν απαιτεί νέα γλώσσα, επειδή οι κανόνες υπαγορεύονται από τις προδιαγραφές της υποδοχής και επιτρέπει κάποια προσαρμογή σε βάρος του ταχύτερου χρόνου στην αγορά και μια απλούστερη προσέγγιση για τους προγραμματιστές τσιπ.

Chip Challenge

Τα τσιπ έχουν προφανή πλεονεκτήματα. Μπορούν να σχεδιαστούν ανεξάρτητα σε οποιονδήποτε εύλογο κόμβο διεργασίας, κάτι που είναι ιδιαίτερα σημαντικό για τις αναλογικές δυνατότητες. Αλλά το πώς να συνδυάσετε τα κομμάτια και να παράγετε προβλέψιμα αποτελέσματα ήταν μια μεγάλη πρόκληση. Η αρχική πρόταση της DARPA για μια αρχιτεκτονική που μοιάζει με Lego αποδείχθηκε πολύ πιο περίπλοκη από ό,τι είχε αρχικά οραματιστεί και θα απαιτούσε πολλή συνεχή προσπάθεια από το ευρύτερο οικοσύστημα για να πραγματοποιηθεί.

Τα chipset πρέπει να συγχρονίζονται με ακρίβεια, έτσι ώστε τα κρίσιμα δεδομένα να μπορούν να υποβληθούν σε επεξεργασία, αποθήκευση και ανάκτηση χωρίς καθυστέρηση. Διαφορετικά, μπορεί να προκύψουν προβλήματα χρονισμού όταν ένας υπολογισμός καθυστερεί ή δεν συγχρονίζεται με άλλους υπολογισμούς, με αποτέλεσμα καθυστερήσεις και πιθανά αδιέξοδα. Σε εφαρμογές αποστολής ή κρίσιμες για την ασφάλεια εφαρμογές, μια δεύτερη απώλεια μπορεί να έχει σοβαρές συνέπειες.

Η απλούστευση της διαδικασίας σχεδιασμού είναι μια εξαιρετικά περίπλοκη προσπάθεια, ειδικά για σχέδια που αφορούν συγκεκριμένους τομείς, καθώς δεν υπάρχουν ενοποιημένα πρότυπα. Ο στόχος αυτών των τριών χυτηρίων είναι να παρέχουν περισσότερες επιλογές σε εταιρείες που αναπτύσσουν τσιπ υψηλής απόδοσης και χαμηλής ισχύος. Υπολογίζεται ότι περίπου το 30% έως το 35% όλων των σχεδίων αιχμής είναι υπεύθυνες για μεγάλες εταιρείες συστημάτων όπως η Google, η Meta, η Microsoft και η Tesla Ο τύπος PPA/C και οι αντισταθμίσεις έχουν επίσης αλλάξει σημαντικά.

Τα τσιπ που έχουν αναπτυχθεί για αυτές τις εταιρείες συστημάτων ενδέχεται να μην πωλούνται εμπορικά. Έτσι, εάν μπορούν να επιτύχουν υψηλότερη απόδοση ανά watt, το κόστος σχεδιασμού και κατασκευής μπορεί να αντισταθμιστεί από χαμηλότερη ισχύ ψύξης και υψηλότερη χρήση - και ενδεχομένως λιγότερους διακομιστές. Για τα τσιπ που πωλούνται σε κινητές συσκευές και εμπορικούς διακομιστές, ισχύει το αντίθετο, όπου το υψηλό κόστος ανάπτυξης μπορεί να αποσβεστεί έναντι της παραγωγής μεγάλου όγκου. Τα προσαρμοσμένα σχέδια σε προηγμένες συσκευασίες έχουν οικονομικά οφέλη και για τα δύο, αλλά για πολύ διαφορετικούς λόγους.

Σμίκρυνση, μεγέθυνση και σμίκρυνση

Εκτιμάται ότι μέσα σε αυτά τα πολύπλοκα συστήματα chiplet θα υπάρχουν πολλαπλοί τύποι επεξεργαστών, άλλοι εξαιρετικά εξειδικευμένοι και άλλοι πιο γενικής χρήσης. Λόγω των περιορισμένων προϋπολογισμών ενέργειας, τουλάχιστον μερικά από αυτά πιθανότατα θα αναπτυχθούν σε κόμβους διεργασίας τελευταίας τεχνολογίας. Οι προηγμένοι κόμβοι εξακολουθούν να προσφέρουν μεγαλύτερη ενεργειακή απόδοση, η οποία επιτρέπει τη συσκευασία περισσότερων τρανζίστορ στην ίδια περιοχή για βελτιωμένη απόδοση. Αυτό είναι κρίσιμο για εφαρμογές AI/ML, επειδή η ταχύτερη επεξεργασία περισσότερων δεδομένων απαιτεί περισσότερες λειτουργίες πολλαπλασιασμού/συσσώρευσης σε μια εξαιρετικά παράλληλη διαμόρφωση. Τα μικρότερα τρανζίστορ προσφέρουν μεγαλύτερη ενεργειακή απόδοση, επιτρέποντας περισσότερη επεξεργασία ανά τετραγωνικό χιλιοστό πυριτίου, αλλά απαιτούν αλλαγές στη δομή της πύλης για να αποφευχθεί η διαρροή, γι' αυτό έρχονται τα FET και τα CFET.

Εν ολίγοις, η ηγεσία της διαδικασίας εξακολουθεί να έχει αξία. Το να είσαι πρώτος στην αγορά με διαδικασίες αιχμής είναι καλό για τις επιχειρήσεις, αλλά είναι μόνο ένα κομμάτι ενός μεγαλύτερου παζλ. Και τα τρία χυτήρια έχουν ανακοινώσει σχέδια να κινηθούν προς διαδικασίες σε επίπεδο angstrom. Η Intel σχεδιάζει να κυκλοφορήσει τη διαδικασία 18Α φέτος και τη διαδικασία 14Α λίγα χρόνια αργότερα.

Εικόνα 2: Ο οδικός χάρτης διαδικασιών της Intel.Πηγή: Intel Foundry

Εν τω μεταξύ, η TSMC θα προσθέσει το A16 το 2027 (βλ. Εικόνα 3 παρακάτω).

Εικόνα 3: Ο οδικός χάρτης κλιμάκωσης της TSMC εισέρχεται στην εποχή του angstrom.Πηγή: TSMC

Η Samsung θα αυξήσει την ανάλυση στα 14 angstroms με το SF1.4 της γύρω στο 2027, προφανώς παρακάμπτοντας τα 18/16 angstroms. (Βλέπε Εικόνα 4)

Εικόνα 4: Ο οδικός χάρτης επέκτασης διαδικασιών της Samsung.Πηγή: Samsung Foundry

Από την άποψη του κόμβου διεργασίας, και τα τρία χυτήρια βρίσκονται στον ίδιο δρόμο. Αλλά η πρόοδος δεν αφορά πλέον μόνο κόμβους διεργασιών. Υπάρχει μια αυξανόμενη εστίαση στον λανθάνοντα χρόνο και την απόδοση ανά watt για συγκεκριμένο τομέα, και αυτό είναι όπου η λογική στοίβαξη επωφελείται σε μια πραγματική διαμόρφωση 3D-IC, χρησιμοποιώντας υβριδικούς δεσμούς για τη σύνδεση των τσιπ με το υπόστρωμα και μεταξύ τους. Η κίνηση ηλεκτρονίων μέσω καλωδίων σε ένα επίπεδο τσιπ εξακολουθεί να είναι ταχύτερη (υποθέτοντας ότι τα σήματα δεν χρειάζεται να ταξιδεύουν από το ένα άκρο του τσιπ στο άλλο), αλλά η στοίβαξη τρανζίστορ πάνω από άλλα τρανζίστορ δεν είναι βέλτιστη και σε ορισμένες περιπτώσεις ακόμη καλύτερη από τα επίπεδα SoCs Καλύτερα, καθώς ορισμένες κάθετες διαδρομές σήματος μπορεί να είναι μικρότερες.

Σε μια πρόσφατη παρουσίαση, ο Taejoong Song, αντιπρόεδρος ανάπτυξης επιχειρήσεων χυτηρίου στο Samsung Foundry, παρουσίασε έναν οδικό χάρτη που διαθέτει τεχνολογία λογικής επικάλυψης που είναι τοποθετημένη σε υπόστρωμα για την ενσωμάτωση τσιπ 2nm (SF2) με τσιπ 4nm (SF4X) που συνδυάζονται, και τα δύο τοποθετημένα σε άλλο υπόστρωμα. Αυτό είναι βασικά ένα 3D-IC σε ένα πακέτο 2.5D, το οποίο είναι η έννοια 3.5D ή 5.5D που αναφέρθηκε προηγουμένως. Ο Song είπε ότι το χυτήριο θα αρχίσει να στοιβάζει SF1.4 στο SF2P το 2027. Αυτό που είναι ιδιαίτερα ελκυστικό σε αυτή την προσέγγιση είναι η δυνατότητα διάχυσης της θερμότητας. Διαχωρίζοντας τη λογική από άλλες λειτουργίες, η θερμότητα μπορεί να αφαιρεθεί από τα στοιβαγμένα τσιπ μέσω του υποστρώματος ή οποιασδήποτε από τις πέντε εκτεθειμένες επιφάνειες.

Εικόνα 5: Η αρχιτεκτονική 3D-IC της Samsung για AI.Πηγή: Samsung

Η Intel, εν τω μεταξύ, θα αξιοποιήσει το Foveros Direct 3D για να στοιβάζει τη λογική πάνω από τη λογική, τόσο πρόσωπο με πρόσωπο όσο και πρόσωπο με πρόσωπο. Σύμφωνα με την τελευταία λευκή βίβλο της Intel, αυτή η προσέγγιση επιτρέπει τη σύνδεση τσιπ ή γκοφρετών από διαφορετικά χυτήρια με εύρος ζώνης που καθορίζεται από τον χαλκό μέσω της απόστασης. Το έγγραφο αναφέρει ότι η πρώτη γενιά θα χρησιμοποιεί απόσταση 9μm από χαλκό, ενώ η δεύτερη γενιά θα χρησιμοποιεί απόσταση 3μm.

Εικόνα 6: Το Foveros Direct 3D της Intel.Πηγή: Intel

"Το πραγματικό 3D-IC έρχεται με το Foveros και στη συνέχεια έρχεται επίσης με υβριδικά κλειδιά", δήλωσε ο Immaneni της Intel. «Δεν μπορείτε να ακολουθήσετε την παραδοσιακή διαδρομή του σχεδιασμού και να συνδυάσετε τα πάντα και στη συνέχεια να το επικυρώσετε και να μάθετε, "Ωχ, έχω ένα πρόβλημα" Δεν μπορείτε να το κάνετε αυτό πια γιατί θα επηρεάσετε τον χρόνο σας Έτσι, θέλετε πραγματικά να παρέχετε ένα sandbox για να το κάνετε προβλέψιμο, αλλά ακόμη και πριν μπω σε αυτό το λεπτομερές περιβάλλον σχεδίασης, θέλω να εκτελέσω τη μηχανική/ηλεκτρική/θερμική μου ανάλυση, ώστε να μην υπάρχουν ανοίγματα Το βάρος του 3D-IC είναι περισσότερο στη σχεδίαση του κώδικα παρά στην εκτέλεση».

Το Feveros επιτρέπει στα ενεργά λογικά τσιπ να στοιβάζονται πάνω από ένα άλλο ενεργό ή παθητικό τσιπ, χρησιμοποιώντας το βασικό τσιπ για να συνδέσετε όλα τα τσιπ της συσκευασίας με βήμα 36 micron. Αξιοποιώντας την προηγμένη τεχνολογία ταξινόμησης, η Intel ισχυρίζεται ότι μπορεί να εγγυηθεί 99% γνωστά καλά τσιπ και 97% απόδοση δοκιμής μετά τη συναρμολόγηση.

Εν τω μεταξύ, το CoWoS της TSMC έχει χρησιμοποιηθεί από την NVIDIA και την AMD για προηγμένη συσκευασία των τσιπ AI τους. Το CoWoS είναι ουσιαστικά μια προσέγγιση 2.5D που χρησιμοποιεί έναν παρεμβολέα για τη σύνδεση της μνήμης SoC και HBM μέσω διόδων πυριτίου. Τα σχέδια της εταιρείας για το SoIC είναι ακόμη πιο φιλόδοξα, συσκευάζοντας τη λογική μνήμη σε ένα 3D-IC στο μπροστινό μέρος της γραμμής παραγωγής, μαζί με άλλα στοιχεία όπως αισθητήρες. Αυτό μπορεί να μειώσει σημαντικά τον χρόνο συναρμολόγησης για πολλαπλά στρώματα, μεγέθη και χαρακτηριστικά. Η TSMC ισχυρίζεται ότι το σχήμα συγκόλλησης της επιτρέπει ταχύτερες και συντομότερες συνδέσεις από άλλες μεθόδους 3D-IC. Μια αναφορά υποστηρίζει ότι η Apple θα χρησιμοποιεί την τεχνολογία SoIC της TSMC από το επόμενο έτος, ενώ η AMD θα επεκτείνει τη χρήση αυτής της προσέγγισης.

Άλλες καινοτομίες

Οι υφιστάμενες τεχνολογίες διεργασιών και συσκευασίας ανοίγουν την πόρτα σε ένα ευρύτερο φάσμα ανταγωνιστικών επιλογών. Σε αντίθεση με το παρελθόν όπου μεγάλοι κατασκευαστές τσιπ, πωλητές εξοπλισμού και εταιρείες EDA καθόριζαν τον οδικό χάρτη των τσιπ, ο κόσμος των μικρών τσιπ παρέχει στους τελικούς πελάτες τα εργαλεία για να λάβουν αυτές τις αποφάσεις. Αυτό οφείλεται σε μεγάλο βαθμό στη διαφορά στον αριθμό των χαρακτηριστικών που μπορούν να τοποθετηθούν σε ένα πακέτο έναντι του αριθμού των χαρακτηριστικών που μπορούν να τεθούν εντός των περιορισμών της μάσκας SoC. Τα πακέτα μπορούν να κλιμακωθούν οριζόντια ή κατακόρυφα ανάλογα με τις ανάγκες, και σε ορισμένες περιπτώσεις μπορούν να βελτιώσουν την απόδοση μέσω της κατακόρυφης σχεδίασης ορόφων.

Όμως, δεδομένων των τεράστιων ευκαιριών στο cloud και στην άκρη, ειδικά καθώς η τεχνητή νοημοσύνη γίνεται πιο διαδεδομένη, τα τρία μεγάλα χυτήρια και τα οικοσυστήματα τους αγωνίζονται για να αναπτύξουν νέες δυνατότητες και χαρακτηριστικά. Σε ορισμένες περιπτώσεις, αυτό απαιτεί τη μόχλευση των πόρων που ήδη διαθέτουν. Σε άλλες περιπτώσεις, απαιτεί εντελώς νέα τεχνολογία.

Η Samsung, για παράδειγμα, έχει αρχίσει να παρουσιάζει λεπτομερή σχέδια για ένα προσαρμοσμένο HBM που περιλαμβάνει μια στοίβα 3D DRAM με ένα διαμορφώσιμο λογικό στρώμα από κάτω. Αυτή είναι η δεύτερη φορά που χρησιμοποιείται αυτή η προσέγγιση. Το 2011, η Samsung και η Micron ανέπτυξαν από κοινού υβριδικούς κύβους μνήμης που συσκεύαζαν μια στοίβα DRAM σε ένα λογικό επίπεδο. Αφού το JEDEC έκανε το HBM πρότυπο, το HBM κέρδισε τον πόλεμο και το HMC ουσιαστικά εξαφανίστηκε. Αλλά δεν υπάρχει τίποτα κακό με την προσέγγιση HMC, είναι απλώς ο λάθος συγχρονισμός.

Η Samsung σχεδιάζει να προσφέρει προσαρμοσμένο HBM ως επιλογή στον νέο παράγοντα μορφής. Η μνήμη είναι ένας από τους βασικούς παράγοντες που καθορίζουν την απόδοση και η ικανότητα ανάγνωσης, εγγραφής και μεταφοράς δεδομένων από και προς τη μνήμη και τον επεξεργαστή πιο γρήγορα μπορεί να έχει σημαντικό αντίκτυπο στην απόδοση και την κατανάλωση ενέργειας. Αυτοί οι αριθμοί μπορούν να βελτιωθούν σημαντικά εάν η μνήμη έχει το κατάλληλο μέγεθος για συγκεκριμένο φόρτο εργασίας ή τύπο δεδομένων και εάν μπορεί να γίνει κάποια επεξεργασία μέσα στη μονάδα μνήμης, ώστε να χρειάζεται να μετακινηθούν λιγότερα δεδομένα.

Εικόνα 7: Οδικός χάρτης και καινοτομία της Samsung. Πηγή: Semiconductor Engineering/MemCon 2024

Εν τω μεταξύ, η Intel εργάζεται για έναν καλύτερο τρόπο να τροφοδοτεί πυκνά συσκευασμένα τρανζίστορ, ένα συνεχές πρόβλημα καθώς αυξάνεται η πυκνότητα των τρανζίστορ και ο αριθμός των μεταλλικών στρωμάτων. Στο παρελθόν, η ισχύς κατέβαινε από την κορυφή του τσιπ, αλλά προκύπτουν δύο προβλήματα στους πιο προηγμένους κόμβους. Η μία είναι η πρόκληση της παροχής αρκετής ισχύος σε κάθε τρανζίστορ. Το δεύτερο είναι ο θόρυβος, ο οποίος μπορεί να προέρχεται από την παροχή ρεύματος, το υπόστρωμα ή τις ηλεκτρομαγνητικές παρεμβολές. Χωρίς την κατάλληλη θωράκιση - η οποία γίνεται όλο και πιο δύσκολη σε κάθε νέο κόμβο καθώς τα διηλεκτρικά και τα καλώδια γίνονται πιο λεπτά - ο θόρυβος μπορεί να επηρεάσει την ακεραιότητα του σήματος.

Η τροφοδοσία μέσω της πίσω πλευράς του τσιπ ελαχιστοποιεί τέτοια προβλήματα και μειώνει τη συμφόρηση της γραμμής. Αλλά φέρνει και άλλες προκλήσεις, όπως το πώς να ανοίξετε τρύπες σε λεπτότερα υποστρώματα χωρίς να καταστρέψετε τη δομή. Η Intel προφανώς έχει αντιμετωπίσει αυτά τα ζητήματα και σχεδιάζει να κυκλοφορήσει φέτος τη λύση τροφοδοσίας PowerVia πίσω.

Η TSMC είπε ότι σχεδιάζει να εφαρμόσει το πίσω τροφοδοτικό A16 το 2026/2027. Το χρονοδιάγραμμα της Samsung είναι περίπου το ίδιο και θα επιτρέψει την τροφοδοσία στο πίσω μέρος της διαδικασίας SF2Z 2nm.

Η Intel ανακοίνωσε επίσης σχέδια για γυάλινα υποστρώματα, τα οποία προσφέρουν καλύτερη επιπεδότητα και χαμηλότερα ποσοστά ελαττωμάτων από το CMOS. Αυτό είναι ιδιαίτερα σημαντικό σε προχωρημένους κόμβους, όπου ακόμη και τα κοιλώματα νανοκλίμακας μπορούν να προκαλέσουν προβλήματα. Όπως και με την τροφοδοσία του πίσω μέρους, τα προβλήματα επεξεργασίας αφθονούν. Το πλεονέκτημα είναι ότι το γυαλί έχει τον ίδιο συντελεστή θερμικής διαστολής με το πυρίτιο, επομένως είναι συμβατό με τη διαστολή και τη συστολή συστατικών πυριτίου όπως τα τσιπ. Μετά από χρόνια παραμέλησης, το γυαλί έγινε ξαφνικά πολύ ελκυστικό. Στην πραγματικότητα, τόσο η TSMC όσο και η Samsung εργάζονται σε γυάλινα υποστρώματα και ολόκληρη η βιομηχανία αρχίζει να σχεδιάζει με γυαλί, να το χειρίζεται χωρίς να το σπάει και να το επιθεωρεί.

Ταυτόχρονα, η TSMC αποδίδει μεγάλη σημασία στην οικοδόμηση ενός οικοσυστήματος και στην επέκταση των προϊόντων επεξεργασίας της. Πολλοί γνώστες του κλάδου λένε ότι το πραγματικό πλεονέκτημα της TSMC είναι η ικανότητά της να παρέχει κιτ ανάπτυξης διεργασιών για σχεδόν οποιαδήποτε διαδικασία ή πακέτο. Σύμφωνα με τη Nikkei, το χυτήριο παράγει περίπου το 90% των πιο προηγμένων τσιπς στον κόσμο. Διαθέτει επίσης την πιο προηγμένη εμπειρία συσκευασίας και το μεγαλύτερο και ευρύτερο οικοσύστημα από κάθε χυτήριο, κάτι που είναι σημαντικό.

Αυτό το οικοσύστημα είναι κρίσιμο. Η βιομηχανία τσιπ είναι πολύ περίπλοκη και ποικιλόμορφη και καμία εταιρεία δεν μπορεί να τα κάνει όλα. Το ερώτημα στο μέλλον είναι πόσο ολοκληρωμένα θα είναι αυτά τα οικοσυστήματα, ειδικά εάν ο αριθμός των διεργασιών συνεχίσει να αυξάνεται. Για παράδειγμα, οι πωλητές EDA είναι βασικοί παράγοντες που επιτρέπουν και οι ομάδες σχεδιασμού χρειάζονται αυτοματισμό για να είναι επιτυχημένη οποιαδήποτε προσέγγιση διαδικασίας ή συσκευασίας. Όμως, όσο περισσότερες επιλογές διαδικασίας και συσκευασίας υπάρχουν, τόσο πιο δύσκολο είναι για τους προμηθευτές EDA να υποστηρίξουν κάθε σταδιακή αλλαγή ή βελτίωση και ο χρόνος καθυστέρησης μεταξύ ανακοίνωσης και παράδοσης μπορεί να είναι μεγαλύτερος.

Συμπερασματικά

Οι πρόσφατες αποτυχίες της εφοδιαστικής αλυσίδας και η γεωπολιτική έχουν πείσει τις Ηνωμένες Πολιτείες και την Ευρώπη ότι πρέπει να επαναφέρουν τη μεταποίηση στην πατρίδα τους και τη «φιλική μετανάστευση». Οι επενδύσεις σε εργοστάσια ημιαγωγών, εξοπλισμό, εργαλεία και έρευνα είναι άνευ προηγουμένου. Ο αντίκτυπος που θα έχει αυτό στα τρία μεγάλα χυτήρια μένει να φανεί, αλλά σίγουρα παρέχει κάποια ώθηση για νέες τεχνολογίες, όπως η συσκευασμένη οπτική, μια σειρά από νέα υλικά και οι κρυογονικοί υπολογιστές.

Ο αντίκτυπος όλων αυτών των αλλαγών στο μερίδιο αγοράς είναι όλο και πιο δύσκολο να εντοπιστεί. Δεν έχει πια σημασία ποιο χυτήριο παράγει μάρκες στον μικρότερο κόμβο διεργασίας ή ακόμα και πόσες μάρκες αποστέλλονται. Ένα προηγμένο πακέτο μπορεί να έχει δεκάδες chiplet. Το πραγματικό κλειδί είναι να μπορείτε να παρέχετε σημαντικές λύσεις στους πελάτες γρήγορα και αποτελεσματικά. Σε ορισμένες περιπτώσεις, ο κινητήριος παράγοντας είναι η απόδοση ανά watt, ενώ σε άλλες περιπτώσεις μπορεί να είναι καιρός για αποτελέσματα, με την κατανάλωση ενέργειας να αποτελεί δευτερεύουσα σημασία. Σε άλλες περιπτώσεις, μπορεί μόνο ένα κορυφαίο χυτήριο να παρέχει επαρκή αριθμό συνδυασμών χαρακτηριστικών. Αλλά είναι σαφές ότι ο ανταγωνισμός χυτηρίων είναι πολύ πιο περίπλοκος από ποτέ, και γίνεται πιο περίπλοκος. Σε αυτόν τον εξαιρετικά περίπλοκο κόσμο, οι απλές συγκριτικές μετρήσεις δεν ισχύουν πλέον.

*Δήλωση αποποίησης ευθύνης: Αυτό το άρθρο δημιουργήθηκε από τον αρχικό συγγραφέα. Το περιεχόμενο του άρθρου είναι η προσωπική του άποψη. Η αναδημοσίευσή μας είναι μόνο για κοινή χρήση και συζήτηση.