noticias

Para hacer frente a los desafíos avanzados del envasado, la tecnología de litografía de escritura directa de microenvases de Xinqi ayuda a los avances innovadores locales

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Aplicaciones como la inteligencia artificial (IA) y la computación de alto rendimiento (HPC) han impulsado un aumento en la demanda de chips informáticos de alta potencia. A medida que la Ley de Moore se acerca a su límite, el empaquetado avanzado se está convirtiendo gradualmente en la clave para mejorar el rendimiento de los chips. Actualmente, muchas tecnologías de empaquetado avanzadas, como 2.5D, 3D-IC, integración heterogénea y chiplets, ayudan a los diseñadores de chips a proporcionar más funciones en chips de menor tamaño y menor consumo de energía, y a lograr un salto en el rendimiento. Sin embargo, estos avances tecnológicos también plantean desafíos sin precedentes, que imponen mayores exigencias a los procesos de fabricación, equipos y materiales existentes.

El empaquetado cada vez más avanzado implica procesos conocidos como el "camino intermedio" entre la fabricación de obleas ("front-end") y el empaquetado y prueba de chips ("back-end"), incluyendo la redistribución (RDL), la producción de golpes (Bumping) y a través de vía silicio (TSV) y otras tecnologías de proceso implican fotolitografía, revelado, grabado, decapado y otros pasos de proceso similares a la fabricación de obleas. Entre ellos, la tecnología de fotolitografía desempeña un papel crucial. Los equipos de fotolitografía se han utilizado ampliamente en la producción de embalajes con estructura de chip invertido, RDL, embalajes TSV 2,5D/3D, etc. en el campo del embalaje avanzado.

Hoy en día, en el campo del embalaje a nivel de placa y la fabricación de sustratos (sustratos) de circuitos integrados de alta gama, la litografía de escritura directa ha reemplazado por completo a la litografía tradicional en los campos de la visualización de alta gama, el embalaje avanzado y los semiconductores directos de tercera generación; También ha comenzado a surgir la litografía de escritura. Bajo la ola de embalajes avanzados, Xinqi Micropackaging, el líder nacional en tecnología de litografía de escritura directa, está aportando cambios revolucionarios a la industria con su excelente rendimiento y soluciones técnicas innovadoras.

Se acerca el empaquetado avanzado y está surgiendo la litografía de escritura directa

Tomemos como ejemplo TSMC CoWoS, que ha atraído mucha atención desde el año pasado. Es una tecnología de empaquetado 2.5D que es una combinación de CoW y OS. Primero, el chip se conecta a la oblea de silicio a través del proceso de empaquetado Chip on Wafer (CoW), y luego el chip CoW se conecta al sustrato (Substrate) para integrarlo en CoWoS. El núcleo de esta tecnología es apilar diferentes chips en el mismo intercalador de silicio para interconectar varios chips. En el interposer de silicio, TSMC utiliza micro-bumps (μBmps), vías a través de silicio (TSV) y otras tecnologías para reemplazar la unión de cables tradicional para conexiones entre matrices, mejorando en gran medida la densidad de interconexión y el ancho de banda de transmisión de datos. Según los diferentes interposers utilizados, TSMC divide la tecnología de empaquetado CoWoS en tres tipos: CoWoS-S (Silicon Interposer), CoWoS-R (RDL Interposer) y CoWoS-L (Local Silicon Interconnect and RDL Interposer).

Por ejemplo, CoWoS se utiliza para producir chips de IA de alto rendimiento para empresas como Nvidia, AMD, Amazon y Google. A medida que el número de transistores en los chips de IA sigue aumentando y porque se utiliza en centros de datos y computación en la nube, los requisitos de tamaño no son altos, por lo que es probable que los futuros chips de IA sean cada vez más grandes. Actualmente, TSMC está utilizando la tecnología de embalaje CoWoS para desarrollar chips de IA que son más grandes que los Instinct MI300X y Nvidia B200 de AMD. El área de embalaje ha alcanzado los 120 mm x 120 mm.



Pan Changlong, director de ventas de Pan-Semiconductor en Xinqi Micropackaging, señaló que actualmente TSMC utiliza principalmente CoWoS-S. A medida que hay cada vez más diseños de chips de área grande, hay cada vez más intercaladores y el tamaño de la máscara es cada vez mayor. y más grande cuando se utilizan intermediarios, si la capa alcanza más de cuatro veces la retícula más grande de TSMC (retícula 1X≈830 mm²), que es más alta que 3,3 veces la de su capa intercaladora actual, se moverá a CoWoS-L.


Pan Changlong, director de ventas de Semiconductor Semiconductor

Los datos muestran que el límite teórico de la retícula EUV es de 858 mm² (26 mm x 33 mm), por lo que al empalmar seis máscaras se logrará un SiP de 5148 mm². Un intercalador tan grande no solo proporciona espacio para múltiples chips informáticos grandes, sino que también deja suficiente espacio para memoria HBM de 12 pilas, lo que significa un ancho de banda de interfaz de memoria de 12288 bits de hasta 9,8 TB/segundo. Construir un SiP de 5148 mm² es una tarea extremadamente difícil. El actual acelerador Nvidia H100, cuyo paquete abarca múltiples tamaños de máscara a través de un intercalador, ha costado hasta 30.000 dólares. Como resultado, es probable que los chips más grandes y potentes aumenten aún más los costos de embalaje.

Además de CoWoS-L, algunas empresas de diseño de chips también han comenzado a estudiar sistemas a nivel de oblea (System on Wafer, SoW). Este tipo de diseño utiliza toda la oblea como unidad de embalaje y chips relacionados con la lógica, el almacenamiento y el control. Debe integrarse a través del embalaje, el cableado de RDL será bastante complejo y el número de capas de RDL será cada vez mayor.

Con respecto a las tendencias de estas dos tecnologías de envasado avanzadas, Pan Changlong dijo que el envasado de chips con áreas más grandes traerá muchos desafíos al uso de las máquinas de litografía paso a paso tradicionales.

Uno es el problema del empalme de máscaras. A medida que aumenta el área de embalaje, una sola máscara no puede cubrir todo el chip y es necesario utilizar y empalmar varias máscaras. Esto añade complejidad al proceso de fabricación y puede provocar errores de alineación en los empalmes, afectando el rendimiento y el rendimiento del producto final. Además, el aumento del área de embalaje puede aumentar las deformaciones y los defectos durante el proceso de producción, lo que resulta en una disminución del rendimiento. Especialmente en el área de costura de la máscara, cualquier pequeño error puede afectar el rendimiento de todo el chip. Con la integración de chips y el uso de obleas de gran tamaño, el problema de la deformación de las obleas se ha vuelto cada vez más grave y se ha convertido en uno de los principales desafíos que afectan la confiabilidad del embalaje avanzado.

En segundo lugar, la complejidad del diseño aumenta y la eficiencia de la producción disminuye. El diseño de paquetes de gran tamaño requiere una tecnología de cableado y apilamiento más compleja. Por ejemplo, el cableado de la capa RDL será bastante complejo y el número de capas aumentará, lo que plantea desafíos extremadamente altos tanto para el trabajo de diseño como para el proceso de fabricación. . En particular, el diseño de envases de gran tamaño requiere cambiar de máscara en la máquina de fotolitografía para exponer las líneas en la misma capa. Este cambio frecuente de máscara reducirá la eficiencia de la producción y alargará el ciclo de producción.

El tercero son las limitaciones del equipo. El tamaño de la máscara del equipo de litografía de proyección paso a paso tradicional es principalmente de 26 × 33 mm² y es posible que no se tenga experiencia en lidiar con problemas como la deformación de paquetes de gran tamaño. La fotolitografía para paquetes de gran tamaño requiere equipos con la capacidad de manejar obleas/portadores de mayor tamaño y abordar problemas como la deformación.

Pan Changlong dijo que, además de los envases a nivel de oblea como CoWoS y SoW, la tecnología de envasado FoPLP también ha comenzado a ganar impulso. Las máquinas de litografía paso a paso tampoco pueden hacer frente a envases de área tan grande, y la tecnología de litografía de escritura directa será insuficiente. el mejor elige.

En el campo de los semiconductores, la tecnología de litografía se divide principalmente en litografía de máscara y litografía de escritura directa según se utilice o no una máscara. La litografía de máscara se puede dividir a su vez en litografía de proximidad/contacto y litografía de proyección.La litografía de escritura directa, también conocida como litografía sin máscara, se refiere a una proyección enfocada de un haz de alta precisión, controlada por computadora, sobre la superficie de un sustrato recubierto con material fotosensible, sin la necesidad de una máscara para la exposición de escaneo directo. Durante mucho tiempo en el pasado, la tecnología de litografía con máscara fue la mejor opción en la ruta del proceso de litografía; sin embargo, con el costo creciente, en el futuro, la tecnología de litografía de escritura directa sin máscara puede volverse gradualmente más popular en la industria debido a sus ventajas de costo; y diseño de la industria. Especialmente en el campo del embalaje avanzado, la tecnología de litografía de escritura directa se está convirtiendo gradualmente en una fuerza clave para promover la innovación en la industria con sus ventajas únicas y su amplio potencial de mercado.

Cómo la litografía de escritura directa reescribe el panorama del mercado de envases avanzados

Como líder del segmento en equipos nacionales de litografía de escritura directa, Xinqi Micropackaging está acelerando continuamente su desarrollo en placas portadoras, empaques avanzados, nuevas pantallas y enmascaramiento a medida que aumenta la demanda de placas portadoras de circuitos integrados y PCB de gama media a alta y la localización aumentos de tarifas, diseño en fabricación de plantillas, dispositivos discretos de potencia, cobreado fotovoltaico, etc. Pan Changlong dijo que en el campo del embalaje avanzado,Además del costo y la conveniencia de operación que brinda la falta de una máscara, el equipo de litografía de escritura directa micromontado de Xinqi también tiene ventajas en RDL, interconexión, corrección inteligente e idoneidad para el empaquetado de chips de gran área. sin problemas en el lado del cliente, y ha recibido pedidos repetidos continuos de los principales clientes de embalaje avanzado de China.

Pan Changlong resumió varias ventajas importantes de aplicar la tecnología de litografía de escritura directa al embalaje avanzado. En primer lugar, la producción de máscaras suele llevar mucho tiempo y ser costosa. La litografía de escritura directa no utiliza las máscaras requeridas por la litografía paso a paso tradicional.Al realizar digitalmente la exposición del patrón directamente en la oblea de silicio, el tiempo desde el diseño del producto hasta el mercado se acorta considerablemente y los costos de fabricación se reducen significativamente.Además, la tecnología de litografía de escritura directa puede adaptarse a diseños RDL complejos y estructuras de embalaje multicapa, lo que puede ser difícil de lograr en la litografía paso a paso tradicional. Los clientes pueden ajustar y optimizar diseños de manera más flexible para satisfacer diferentes necesidades, especialmente en I+D o muestras. etapa de desarrollo.

En segundo lugar, la tecnología de litografía de escritura directa reduce la necesidad de intercambio y empalme de máscaras, lo que simplifica el proceso de producción y mejora así la eficiencia de la producción. Especialmente con el aumento del área de embalaje y el desarrollo de tecnologías como CoWoS-L y FoPLP, la tecnología de litografía de escritura directa puede afrontar eficazmente los desafíos de los embalajes de gran tamaño. Puede manejar diseños de embalaje de gran superficie que superan los tamaños de mascarillas tradicionales, evitando problemas de empalme de mascarillas y mejorando la eficiencia de la producción. Al mismo tiempo, los modos de multisegmentación libre y expansión y contracción inteligente de la fotolitografía directa tienen una calidad excelente para abordar la deformación por alabeo de capas múltiples de gran tamaño en envases a nivel de tablero.

Finalmente, en respuesta a la demanda actual del mercado de localización y reducción de la dependencia externa, China continental está aumentando sus esfuerzos para desarrollar paquetes avanzados como CoWoS y Chiplet para compensar la brecha de rendimiento a pesar de las limitaciones de los procesos avanzados. La tecnología de litografía de escritura directa proporciona una solución autónoma y controlable que ayuda a reducir los riesgos de la cadena de suministro y mejorar la competitividad de las industrias nacionales.


“A medida que aumentan los requisitos de chips de alto rendimiento y gran potencia informática, la demanda de tecnologías de embalaje avanzadas como CoWoS-L y FoPLP seguirá creciendo con la aparición de productos futuros como RDL y SOW de gran tamaño. La tecnología de litografía de escritura directa dependerá de su Las ventajas en los envases de gran tamaño y el costo marcarán el comienzo de un amplio espacio de mercado", dijo Pan Changlong.En la actualidad, el equipo de microenvasado Xinqi ha logrado anchos de línea tan bajos como 2um, y los procesos involucrados incluyen cableado vertical TSV, cableado horizontal que choca con enlaces RDL, etc., cumpliendo con los requisitos de los clientes de embalaje avanzado con máscaras digitales flexibles y altas tasas de rendimiento. Actualmente, se han entregado varios dispositivos a los clientes y se ha verificado la estabilidad y funcionalidad de los productos.

Vale la pena señalar que, además del proceso de fotolitografía, la litografía de escritura directa también muestra importantes ventajas técnicas en los campos del corte de obleas y la corrección inteligente.

Pan Changlong señaló que en el proceso de fabricación de chips, es necesario utilizar el proceso de corte para marcar la oblea. Sin embargo, el corte tradicional con diamante, el corte con muela o el corte con láser causarán graves daños a la oblea, lo que provocará tensión y agrietamiento de la oblea. Degradación del rendimiento del chip y otros problemas. Actualmente, en el campo del embalaje avanzado, los clientes de alto nivel están comenzando a utilizar el corte por plasma con grabado profundo de silicio (DRIE) para reemplazar los métodos de corte tradicionales. Sin embargo, DRIE requiere un proceso de exposición, pero este proceso de exposición no es complicado. La tecnología de litografía de escritura directa puede dibujar directamente líneas de corte precisas en obleas de silicio u otros materiales base. Estos patrones pueden ser líneas rectas simples, curvas u otras geometrías complejas. forma y permite bordes de corte más suaves y precisos, lo que reduce la tensión y el daño que pueden introducir los métodos de corte tradicionales, como el corte con cuchilla o el corte por láser. Además, debido a que la litografía de escritura directa utiliza un haz digital y una máscara virtual, no requiere crear ni reemplazar máscaras físicas para cada patrón de corte diferente, lo que ahorra mucho tiempo y costos.

Otro escenario típico de CoWoS es la integración de múltiples HBM en chips de IA, lo que requiere apilar múltiples chips DRAM para formar una unidad de almacenamiento de gran capacidad. En este proceso se puede utilizar la litografía de escritura directa para dibujar con precisión líneas de trazado para cortar y apilar los chips.En comparación con los métodos de corte tradicionales, no solo mejora la precisión del corte, sino que también ayuda a lograr un apilamiento de virutas más ajustado, mejorando así la densidad de almacenamiento y el rendimiento. . Además, la tecnología de litografía de escritura directa también puede garantizar una alta planitud de la superficie del chip después del corte, lo cual es crucial para procesos posteriores como la unión híbrida.

"La aplicación de la tecnología de litografía de escritura directa en estos dos escenarios de corte no solo puede mejorar la precisión y la calidad del corte, sino también reducir los costos y el tiempo de producción y mejorar la eficiencia general de la producción", enfatizó Pan Changlong, "a través de la litografía de escritura directa".

Además, la tecnología de litografía de escritura directa se utiliza cada vez más para la corrección inteligente.

Pan Changlong explicó que actualmente existen tres dificultades técnicas importantes en el empaque de reconstrucción de obleas para empaques avanzados. La primera es el desplazamiento del troquel, que se refiere a la desviación, expansión y contracción durante el proceso de transferencia del chip. la posición preestablecida, que requiere corrección; el segundo es la deformación, que es una deformación causada por una falta de coincidencia en el coeficiente de expansión térmica del material EMC y la oblea de silicio, que puede provocar una mala exposición. El tercero es el pegamento residual (residuo). ). Para el problema de compensación de las partículas del núcleo, la tecnología de litografía de escritura directa puede garantizar la precisión del patrón de capa RDL cambiando el cableado o la capa PI o la corrección del patrón de corrección de golpes. Además, en el proceso de colocación de FoWLP, la solución de corrección PI basada en litografía de escritura directa puede reducir muy bien el error de colocación de la máquina de colocación. Por lo tanto, en los campos de compensación de grano, alabeo de sustrato, deformación de sustrato, etc., la capacidad de ajuste adaptativo de la tecnología de litografía de escritura directa le brinda las ventajas de alto rendimiento y buena consistencia.

Dado que las ventajas de la litografía de escritura directa en comparación con la litografía paso a paso se reflejan principalmente en la capacidad de lograr un ajuste de patrón en tiempo real sin una máscara física, mejorando la eficiencia y el rendimiento de la producción, puede adaptarse a la corrección compleja de múltiples capas y de gran tamaño. paquetes.necesidad. Su flexibilidad y capacidades de corrección de alta precisión simplifican el proceso de producción, reducen costos y respaldan el rápido desarrollo de tecnología de empaque avanzada para satisfacer la demanda del mercado de chips de alto rendimiento y alta densidad.

Oportunidades y desafíos coexisten y la cadena ecológica de la litografía de escritura directa se está remodelando

Según estimaciones de Yole y Jiwei Consulting, el mercado mundial de envases avanzados crecerá de 37.900 millones de dólares a 48.200 millones de dólares entre 2022 y 2026, con una tasa compuesta anual del 6,2%. En el futuro, la proporción de tecnología de embalaje avanzada en todo el mercado de embalaje aumentará gradualmente. El desarrollo de embalaje 3D, embalaje sectorial (FOWLP/PLP), tecnología de unión de cables de micropaso y embalaje a nivel de sistema (SiP). Es importante continuar el camino de la Ley de Moore.

Al mismo tiempo, Yole también predice que en el campo del empaquetado de circuitos integrados avanzados, los equipos de litografía de escritura directa por láser madurarán gradualmente y ocuparán una cierta participación de mercado en los próximos tres años, con buenas perspectivas de aplicación en el mercado. Es cierto que la tecnología de litografía de escritura directa ha comenzado a surgir en el campo del embalaje avanzado, pero aún necesita superar una serie de desafíos técnicos y de mercado antes de que pueda usarse en la producción en masa a gran escala.

Pan Changlong señaló que, en primer lugar,Con el desarrollo de tecnología de embalaje avanzada, los requisitos de precisión de la fotolitografía son cada vez mayores. . La tecnología de litografía de escritura directa necesita mejorar aún más su resolución para cumplir con los requisitos de empaquetado de anchos de línea más pequeños y mayor densidad.En segundo lugar, la litografía de escritura directa aún no es completamente comparable a la litografía paso a paso en términos de rendimiento y tasa de producción (UPH), y el cuello de botella del rendimiento es principalmente que todavía no existe en el mercado un fotorresistente desarrollado específicamente para la litografía de escritura directa y una luz que coincida. fuentes.Los materiales fotorresistentes y de capas dieléctricas tradicionales están diseñados para máquinas de litografía paso a paso, y la tecnología de litografía de escritura directa debe combinarse mejor con estos materiales para garantizar la calidad y eficiencia de la litografía.Por último, muchos clientes de embalajes todavía no comprenden la tecnología de litografía de escritura directa, y se necesita más educación sobre el mercado y popularización de la tecnología para mejorar el conocimiento y la aceptación de los clientes.Y cómo resaltar las ventajas únicas de la microdecoración Xinqi en la competencia del mercado y ganarse la confianza de los clientes también es un gran desafío.

Dado que el desarrollo de la industria nacional de semiconductores en el campo de los procesos avanzados es limitado, la demanda de envases avanzados aumenta día a día. En la actualidad, la investigación y el desarrollo de China continental en campos de envases 2,5D y 3D como CoWoS se están acelerando. Xinqi Micropackaging ha formulado y adoptado una serie de planes y medidas prácticas y efectivas para promover la localización de envases avanzados.

"La I+D localizada es una de las estrategias centrales de Xinqi Micro-Device. La compañía ha establecido un sólido equipo de I+D local que se centra en la innovación tecnológica y el desarrollo de productos para garantizar que la tecnología pueda responder a las necesidades de los clientes nacionales de manera oportuna. A través de investigación y desarrollo localizados, Xinqi Micro Packaging puede adaptarse rápidamente a los cambios del mercado y promover el progreso tecnológico. "Pan Changlong dijo: "En términos de mejorar el rendimiento de la litografía de escritura directa y la eficiencia de la producción, Xinqi Micropackaging también ha establecido una estrecha cooperación con las cadenas industriales nacionales ascendentes y descendentes. Por ejemplo, en el fotorresistente de soporte, Xinqi Micro Devices está trabajando estrechamente con los fabricantes de fotorresistentes i-line y KrF de Japón y el continente para realizar la verificación de la producción, el ajuste de la fórmula y otros trabajos para mejorar la viabilidad de la producción en masa. Al mismo tiempo, Xinqi Micropackaging también ha establecido estrechas relaciones de cooperación con plantas de embalaje, empresas de diseño y fábricas de obleas nacionales para comprender las necesidades de los clientes y los comentarios sobre el uso y brindarles soluciones personalizadas. "

Vale la pena mencionar que,Xinqi Micro-assembly se compromete a aumentar la proporción de localización de piezas. En la actualidad, se han localizado más del 90% de las piezas.Esto no sólo reduce la dependencia de piezas y componentes importados y mejora la estabilidad de la cadena de suministro, sino que también reduce los costos de producción y mejora la competitividad de los productos en el mercado.

A medida que la tecnología siga madurando y el mercado la reconozca gradualmente, toda la cadena ecológica se remodelará. Todos los eslabones de la cadena ecológica, desde los proveedores de materiales hasta los fabricantes de equipos y las empresas de envasado final, están comenzando a adaptarse activamente a este cambio. productos, nuevos procesos y nuevas soluciones compatibles con la tecnología de litografía de escritura directa. Esta cooperación entre industrias y campos acelerará aún más la innovación y la aplicación de la tecnología de litografía de escritura directa.

Se cree que la litografía de escritura directa no sólo desempeñará un papel cada vez más importante en el campo del embalaje avanzado, sino que también se convertirá en un importante impulsor para remodelar la estructura de la cadena de la industria nacional de semiconductores y mejorar la competitividad industrial.