ニュース

高度なパッケージングの課題に対処するために、Xinqi マイクロパッケージングの直接書き込みリソグラフィー技術が地域の革新的なブレークスルーを支援します

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

人工知能 (AI) やハイパフォーマンス コンピューティング (HPC) などのアプリケーションにより、高出力コンピューティング チップの需要が急増しており、ムーアの法則が限界に近づくにつれて、先進的なパッケージングがチップのパフォーマンスを向上させる鍵となりつつあります。現在、2.5D、3D-IC、ヘテロジニアス統合、チップレットなどの多くの高度なパッケージング技術により、チップ設計者はより小さなサイズとより低い消費電力でより多くの機能をチップに提供し、性能の飛躍的な向上を達成できます。しかし、これらの技術の進歩は前例のない課題ももたらし、既存の製造プロセス、設備、材料に対する要求が高まります。

ますます高度なパッケージングには、再配布 (RDL)、バンプ製造 (バンピング)、スルーシリコンなど、ウェーハ製造 (「フロントエンド」) とチップのパッケージングおよびテスト (「バックエンド」) の間の「中道」と呼ばれるプロセスが含まれます。 via (TSV) およびその他のプロセス技術には、フォトリソグラフィー、現像、エッチング、剥離、およびウェーハ製造と同様のその他のプロセス ステップが含まれます。中でも、フォトリソグラフィー技術は、先端パッケージング分野におけるフリップチップ構造のパッケージングバンピング、RDL、2.5D/3DパッケージングTSVなどの生産に広く使用されています。

現在、ボードレベルのパッケージングおよびハイエンドの IC 基板 (基板) 製造の分野では、ハイエンドのディスプレイ、高度なパッケージング、および第 3 世代の半導体の分野で、直接書き込みリソグラフィーが従来のリソグラフィーに完全に取って代わりました。 -書き込みリソグラフィーも登場し始めています。先進的なパッケージングの波の中で、直接描画リソグラフィ技術の国内リーダーである Xinqi Micropackaging は、その優れたパフォーマンスと革新的な技術ソリューションで業界に画期的な変化をもたらしています。

高度なパッケージングが到来し、直接書き込みリソグラフィーが登場

昨年から注目を集めているTSMC CoWoSを例に挙げると、CoWとOSを組み合わせた2.5Dパッケージング技術です。まず、チップオンウェーハ(CoW)パッケージングプロセスを通じてチップがシリコンウェーハに接続され、次にCoWチップが基板(Substrate)に接続されてCoWoSに統合されます。この技術の核心は、同じシリコン インターポーザ上に異なるチップを積層して、複数のチップを相互接続することです。シリコンインターポーザーでは、TSMCはマイクロバンプ(μBmps)、シリコン貫通ビア(TSV)、およびその他のテクノロジーを使用して、ダイ間接続に従来のワイヤボンディングを置き換え、相互接続密度とデータ伝送帯域幅を大幅に向上させます。 TSMC は、使用されるさまざまなインターポーザーに応じて、CoWoS パッケージング テクノロジーを CoWoS-S (シリコン インターポーザー)、CoWoS-R (RDL インターポーザー)、および CoWoS-L (ローカル シリコン インターコネクトおよび RDL インターポーザー) の 3 つのタイプに分類します。

たとえば、CoWoS は、Nvidia、AMD、Amazon、Google などの企業向けの高性能 AI チップの製造に使用されています。AI チップのトランジスタ数は増加し続けており、データセンターやクラウド コンピューティングでも使用されているため、サイズ要件はそれほど高くないため、将来の AI チップはますます大きくなる可能性があります。現在、TSMCはCoWoSパッケージング技術を使用して、AMDのInstinct MI300XやNvidia B200よりも大きいAIチップを開発しており、パッケージング面積は120mm×120mmに達しています。



Xinqi Micropackaging の Pan-Semiconductor セールス ディレクターである Pan Changlong 氏は、現在 TSMC は主に CoWoS-S を使用していると指摘し、大面積のチップ設計がますます増えており、インターポーザーの数も増えており、マスク サイズも大きくなっています。中間層が使用される場合、層が TSMC の最大レチクル (1X レチクル ≈ 830mm²) の 4 倍を超え、現在のインターポーザー層の 3.3 倍に達すると、CoWoS-L に移行します。


Pan Changlong 氏、半導体マイクロパッケージング Pan-Semiconductor セールスディレクター

データは、EUV レチクルの理論上の限界が 858mm² (26 mm x 33 mm) であることを示しているため、6 枚のマスクを接合することで 5148 mm² の SiP が達成されることになります。このような大規模なインターポーザは、複数の大規模コンピューティング チップレット用のスペースを提供するだけでなく、12 スタックの HBM メモリ用の十分なスペースも残します。これは、最大 9.8 TB/秒の 12288 ビット メモリ インターフェイス帯域幅を意味します。 5148 mm² の SiP を構築するのは非常に困難な作業です。現在の Nvidia H100 アクセラレータは、インターポーザー全体で複数のマスク サイズにまたがるパッケージングを行っており、そのコストは 30,000 ドルにも上ります。その結果、より大型でより強力なチップは、パッケージングのコストをさらに押し上げる可能性があります。

CoWoS-L に加えて、一部のチップ設計会社は、ウェハ全体をパッケージング ユニットとして使用し、ロジック、ストレージ、制御関連のチップを使用するウェハ レベル システム (システム オン ウェハ、SoW) の研究も始めています。パッケージングによって統合する必要がある場合、RDL の配線は非常に複雑になり、RDL 層の数はますます増加します。

これら 2 つの高度なパッケージング技術の傾向について、Pan Changlong 氏は、より大面積のチップ パッケージングは​​従来のステッパー リソグラフィー マシンの使用に多くの課題をもたらすだろうと述べました。

1 つはマスクの接合の問題です。パッケージング領域が増加すると、1 つのマスクでチップ全体をカバーできなくなり、複数のマスクを使用して接続する必要があります。これにより、製造プロセスが複雑になり、スプライス部分での位置合わせエラーが発生し、最終製品の性能と歩留まりに影響を与える可能性があります。また、実装面積の増加により、製造工程における反りや欠陥が増加し、歩留まりが低下する可能性があります。特にマスクステッチング領域では、小さな誤差がチップ全体のパフォーマンスに影響を与える可能性があります。チップの集積化と大型ウェーハの使用に伴い、ウェーハの反りの問題はますます深刻になり、高度なパッケージングの信頼性に影響を与える主要な課題の 1 つとなっています。

第二に、設計の複雑さが増し、生産効率が低下します。大型パッケージの設計では、より複雑な配線および積層技術が必要になります。たとえば、RDL 層の配線は非常に複雑になり、設計作業と製造プロセスの両方に非常に大きな課題が生じます。特に、大型のパッケージング設計では、同じ層のラインを露光するためにフォトリソグラフィー装置でマスクを切り替える必要があり、この頻繁なマスク切り替えにより生産効率が低下し、生産サイクルが長くなります。

3つ目は、機器の制限です。従来のステッパー投影露光装置のマスクサイズは26×33mm2が主流であり、大型パッケージの反りなどの問題への対応経験が少ない場合があります。大型パッケージのフォトリソグラフィーには、より大型のウェーハ/キャリアを処理し、反りなどの問題に対処する能力を備えた装置が必要です。

Pan Changlong 氏は、CoWoS や SoW などのウェハレベルのパッケージングに加えて、FoPLP パッケージング技術も勢いを増し始めており、ステッパー リソグラフィー マシンもそのような大面積パッケージングには対応できず、直接描画リソグラフィー技術は今後の課題になるだろうと述べました。最高のものを選んでください。

汎半導体分野におけるリソグラフィー技術は、マスクを使用するか否かにより、主にマスクリソグラフィーと直接描画リソグラフィーに分けられます。マスク リソグラフィーはさらに、近接/接触リソグラフィーと投影リソグラフィーに分類できます。マスクレス リソグラフィとも呼ばれる直接書き込みリソグラフィとは、直接走査露光用のマスクを必要とせず、感光性材料でコーティングされた基板の表面にコンピュータ制御された高精度のビーム集束投影を指します。これまで長い間、リソグラフィープロセスではマスクリソグラフィー技術が最良の選択肢でしたが、コストの上昇に伴い、将来的にはコスト面での利点からマスクレス直接描画リソグラフィー技術が業界で徐々に普及する可能性があります。そして業界のレイアウトに焦点を当てます。特に先進的なパッケージングの分野では、直接描画リソグラフィ技術は、その独特の利点と幅広い市場の可能性により、業界の革新を促進する重要な力となりつつあります。

直接描画リソグラフィーが高度なパッケージング市場の状況をどのように書き換えるか

国内直接描画リソグラフィー装置のセグメントリーダーとして、Xinqi Micropackaging は、ミッドエンドからハイエンドの PCB および IC キャリアボードの需要の増加と現地化に伴い、キャリアボード、高度なパッケージング、新しいディスプレイ、マスキングの開発を継続的に加速しています。テンプレート作成、パワーディスクリートデバイス、太陽光発電用銅めっきなどのレイアウトの増加。 Pan Changlong 氏は、先進的なパッケージングの分野では、Xinqiのマイクロマウント型直接描画リソグラフィ装置は、マスク不要によるコストと操作の利便性に加えて、RDL、相互接続、インテリジェント補正、大面積チップパッケージングへの適合性においても利点を持っており、装置の進歩が進んでいる。クライアント側ではスムーズに対応でき、中国の先進的なパッケージングの顧客から継続的なリピート注文を受けています。

Pan Changlong は、高度なパッケージングに直接描画リソグラフィー技術を適用することのいくつかの主な利点を要約しました。まず、マスクの製造には時間がかかり、コストがかかります。直接描画リソグラフィでは、従来のステッパ リソグラフィで必要とされるマスクが使用されません。シリコンウエハー上にデジタルで直接パターン露光を行うことで、製品設計から市場投入までの時間が大幅に短縮され、製造コストが大幅に削減されます。さらに、直接書き込みリソグラフィ技術は、従来のステッパ リソグラフィでは実現が困難な複雑な RDL 設計や多層パッケージ構造に適応でき、特に研究開発やサンプルにおいて、さまざまなニーズに合わせて設計をより柔軟に調整および最適化できます。開発段階。

第二に、直接描画リソグラフィー技術により、マスクの交換や接合の必要性が減り、生産プロセスが簡素化され、生産効率が向上します。特にパッケージング面積の増加と CoWoS-L や FoPLP などの技術の発展により、直接描画リソグラフィー技術は大型パッケージングの課題に効果的に対処できます。従来のマスクサイズを超える大面積のパッケージング設計に対応できるため、マスクの接合問題を回避し、生産効率を向上させることができます。同時に、直接フォトリソグラフィーの自由なマルチセグメンテーションとインテリジェントな拡張および縮小モードは、基板レベルのパッケージングにおける大型多層の反り変形に対処するのに優れた品質を備えています。

最後に、現地化と外部依存の削減に対する現在の市場の需要に応えて、中国本土は、先進的なプロセスの限界にもかかわらず、パフォーマンスのギャップを補うために、CoWoS やチップレットなどの先進的なパッケージングの開発への取り組みを強化しています。直接描画リソグラフィー技術は、サプライチェーンのリスクを軽減し、国内産業の競争力を強化する自律的で制御可能なソリューションを提供します。


「高性能で大規模なコンピューティングパワーチップへの要求が高まるにつれ、大型RDLやSOWなどの将来の製品の登場に伴い、CoWoS-LやFoPLPなどの高度なパッケージング技術の需要も高まり続けるでしょう。直接書き込みリソグラフィー技術は、大型パッケージングとコストにおける利点に依存し、幅広い市場空間をもたらすでしょう」と Pan Changlong 氏は述べています。現在、Xinqi のマイクロパッケージング装置は 2um までの線幅を実現しており、そのプロセスには垂直配線 TSV、水平配線バンピング RDL リンクなどが含まれます。、柔軟なデジタルマスクと高歩留まりで高度なパッケージングの顧客の要件を満たしており、現在、複数のデバイスが顧客に納入されており、製品の安定性と機能が検証されています。

フォトリソグラフィープロセスに加えて、直接書き込みリソグラフィーはウェーハ切断とインテリジェント補正の分野でも大きな技術的利点を示していることは注目に値します。

Pan Changlong 氏は、チップの製造プロセスでは、ウェーハをスクライブするために切断プロセスを使用する必要があるが、従来のダイヤモンド切断、砥石切断、またはレーザー切断では、ウェーハに重大な損傷が生じ、ウェーハの応力や亀裂が発生する可能性があると指摘しました。チップの性能低下やその他の問題。現在、高度なパッケージングの分野で、ハイエンド顧客は従来の切断方法の代わりにディープシリコンエッチング (DRIE) プラズマ切断を使用し始めています。ただし、DRIE では露光プロセスが必要ですが、この露光プロセスは複雑ではありません。直接描画リソグラフィー技術により、シリコン ウェーハやその他の基材上に正確な切断線を直接描くことができ、これらのパターンは単純な直線、曲線、またはその他の複雑な形状にすることができます。形状を変更し、より滑らかで正確な切断エッジを可能にし、ナイフ切断やレーザー切断などの従来の切断方法によって発生する可能性のある応力や損傷を軽減します。さらに、直接描画リソグラフィーではデジタル ビームと仮想マスクを使用するため、異なる切断パターンごとに物理マスクを作成して交換する必要がなく、コストと時間が大幅に節約されます。

もう 1 つの典型的な CoWoS シナリオは、AI チップへの複数の HBM の統合です。これには、大容量のストレージ ユニットを形成するために複数の DRAM チップを積層する必要があります。このプロセスでは直接書き込みリソグラフィーを使用して、チップの切断と積層のためのスクライブラインを正確に描くことができます。従来の切断方法と比較して、切断精度が向上するだけでなく、より緊密なチップの積み重ねが実現され、それによってストレージ密度とパフォーマンスが向上します。 。さらに、直接書き込みリソグラフィー技術は、切断後のチップ表面の高い平坦性を確保することもできます。これは、ハイブリッドボンディングなどの後続のプロセスで重要です。

「これら 2 つの切断シナリオに直接描画リソグラフィー技術を適用すると、切断の精度と品質が向上するだけでなく、生産コストと時間が削減され、全体的な生産効率も向上します。」と Pan Changlong 氏は強調しました。

さらに、インテリジェントな補正のために直接書き込みリソグラフィー技術がますます使用されています。

Pan Changlong 氏は、高度なパッケージングのためのウェーハ再構築パッケージングには現在 3 つの主要な技術的問題があると説明しました。1 つ目は、チップの転写プロセス中のずれ、膨張、収縮を指し、これにより実際のコア位置とのずれが生じます。修正が必要なプリセット位置、2 つ目は、EMC 材料とシリコン ウェーハの熱膨張係数の不一致によって生じる変形であり、露出不良を引き起こす可能性があります。 )。コア粒子のオフセット問題に対しては、直接描画リソグラフィー技術により、配線やPI層の変更、あるいはバンプ補正パターンの補正によりRDL層パターンの精度を確保することが可能です。さらに、FoWLP 配置プロセスでは、直接描画リソグラフィーに基づく PI 補正ソリューションにより、配置機械の配置誤差を大幅に低減できます。したがって、粒子オフセット、基板の反り、基板の変形などの分野では、直接描画リソグラフィ技術の適応調整機能により、高歩留まりと良好な一貫性という利点が得られます。

ステッパーリソグラフィーと比較した直接描画リソグラフィーの利点は、主に物理マスクなしでリアルタイムのパターン調整を実現し、生産効率と歩留まりを向上できることに反映されているため、多層および大サイズの複雑な補正に適応できます。パッケージが必要です。その柔軟性と高精度の補正機能により、生産プロセスが簡素化され、コストが削減され、高性能、高密度チップに対する市場の需要を満たす高度なパッケージング技術の迅速な開発がサポートされます。

機会と課題が共存し、直接描画リソグラフィーの生態系チェーンが再形成されつつある

Yole と Jiwei Consulting の推計によると、世界の先端パッケージ市場は 2022 年から 2026 年にかけて 6.2% の CAGR で 379 億米ドルから 482 億米ドルに成長すると予想されています。今後は、3Dパッケージング、セクターパッケージング(FOWLP/PLP)、マイクロピッチワイヤボンディング技術、システムレベルパッケージング(SiP)の開発が進み、パッケージング市場全体に占める先進的なパッケージング技術の割合が徐々に増加していきます。ムーアの法則を継続することが重要です。

同時に、先進的なICパッケージングの分野では、レーザー直接描画リソグラフィー装置が徐々に成熟し、今後3年間で一定の市場シェアを占め、市場応用の見通しが良好になるだろうとYole氏は予測している。直接描画リソグラフィー技術が高度なパッケージングの分野で登場し始めているのは事実ですが、大規模な量産に使用できるようになるまでには、依然として一連の技術的および市場的課題を克服する必要があります。

潘長龍氏はまず次のように指摘した。高度なパッケージング技術の発展に伴い、フォトリソグラフィーの精度に対する要求はますます高くなっています。 。より小さな線幅とより高密度のパッケージング要件を満たすために、直接描画リソグラフィー技術は解像度をさらに向上させる必要があります。第 2 に、直接書き込みリソグラフィーは、歩留まりと生産速度 (UPH) の点でステッパー リソグラフィーに完全に匹敵するものではなく、歩留まりのボトルネックは、市場に直接書き込みリソグラフィー用に特別に開発されたフォトレジストとそれに適合する光源がまだ存在しないことです。 。従来のフォトレジストと誘電体層の材料はステッパー リソグラフィー マシン用に設計されており、リソグラフィーの品質と効率を確保するには、直接描画リソグラフィー技術をこれらの材料とよりよく適合させる必要があります。最後に、多くのパッケージング顧客は依然として直接書き込みリソグラフィ技術についての理解を欠いており、顧客の認識と受け入れを向上させるためには、さらなる市場教育と技術の普及が必要です。そして、市場競争において新奇微細装飾の独自の利点をいかに強調し、顧客の信頼を獲得するかということも大きな課題である。

先端プロセス分野における国内半導体産業の発展には限界があるため、先端パッケージングの需要は日に日に高まっており、現在、中国本土ではCoWoSなどの2.5Dおよび3Dパッケージング分野の研究開発が加速している。 Xinqi Micropackaging は、先進的なパッケージングの現地化を促進するための一連の実践的かつ効果的な計画と措置を策定し、採用しました。

「現地化された研究開発は、Xinqi Micro Equipment の中核戦略の 1 つです。同社は、技術革新と製品開発に重点を置く強力な現地研究開発チームを設立し、技術が国内顧客のニーズにタイムリーに対応できることを保証します。」 Xinqi Micro Packaging は、現地での研究開発を通じて、市場の変化に迅速に適応し、技術の進歩を促進できます。 Pan Changlong 氏は、「直接描画リソグラフィーの歩留まりと生産効率の向上という点で、Xinqi Micropackaging は国内の上流および下流の業界チェーンとの緊密な協力関係も確立しました。たとえば、対応するフォトレジストに関して、Xinqi Micro Devices は日本および本土の i 線および KrF フォトレジスト メーカーと緊密に連携して、量産の実現可能性を高めるための生産検証、配合調整、その他の作業を行っています。同時に、Xinqi Micropackaging は、顧客のニーズや使用状況のフィードバックを理解し、カスタマイズされたソリューションを提供するために、国内のパッケージング工場、設計会社、ウェーハ工場との緊密な協力関係も確立しています。 」

言及する価値があるのは、Xinqi Micro-assembly は部品の国産化率の向上に取り組んでおり、現在、90% 以上の部品が国産化されています。これにより、輸入部品やコンポーネントへの依存が軽減され、サプライチェーンの安定性が高まるだけでなく、生産コストが削減され、製品の市場競争力も向上します。

テクノロジーが成熟し続け、市場がそれを徐々に認識するにつれて、材料サプライヤーから機器メーカー、最終包装会社に至るまで、エコロジカルチェーン全体が再形成され、新たな変化を模索し始めています。直接描画リソグラフィー技術と互換性のある製品、新しいプロセス、および新しいソリューション。この業界や分野を超えた協力により、直接描画リソグラフィー技術の革新と応用がさらに加速します。

直接書き込みリソグラフィーは、先端パッケージングの分野でますます重要な役割を果たすだけでなく、国内の半導体産業チェーンの構造を再構築し、産業競争力を向上させる重要な推進力になると考えられています。