notícias

Para lidar com os desafios avançados de embalagens, a tecnologia de litografia de gravação direta de microembalagens Xinqi ajuda a avanços inovadores locais

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Aplicações como inteligência artificial (IA) e computação de alto desempenho (HPC) impulsionaram um aumento na demanda por chips de computação de alta potência À medida que a Lei de Moore se aproxima do seu limite, o empacotamento avançado está gradualmente se tornando a chave para melhorar o desempenho dos chips. Atualmente, muitas tecnologias de empacotamento avançadas, como 2,5D, 3D-IC, integração heterogênea e chips, ajudam os projetistas de chips a fornecer mais funções em chips com tamanho menor e menor consumo de energia, além de alcançar um salto no desempenho. No entanto, estes avanços tecnológicos também trazem desafios sem precedentes, que impõem maiores exigências aos processos de fabrico, equipamentos e materiais existentes.

Embalagens cada vez mais avançadas envolvem processos chamados de "caminho intermediário" entre a fabricação de wafer ("front-end") e embalagem e teste de chips ("back-end"), incluindo redistribuição (RDL), produção de colisão (Bumping) e através de silício via (TSV) e outras tecnologias de processo envolvem fotolitografia, revelação, gravação, remoção e outras etapas de processo semelhantes à fabricação de wafer. Entre eles, a tecnologia de fotolitografia desempenha um papel crucial. O equipamento de fotolitografia tem sido amplamente utilizado na produção de embalagens com estrutura flip-chip, RDL, embalagens 2,5D/3D TSV, etc.

Hoje, no campo de embalagens em nível de placa e fabricação de substratos IC de alta qualidade (substratos), a litografia de gravação direta substituiu completamente a litografia tradicional nas áreas de exibição de alta qualidade, embalagens avançadas e semicondutores de terceira geração, diretos; A litografia de escrita também começou a surgir. Sob a onda de embalagens avançadas, a Xinqi Micropackaging, líder nacional em tecnologia de litografia de gravação direta, está trazendo mudanças revolucionárias para a indústria com seu excelente desempenho e soluções técnicas inovadoras.

As embalagens avançadas estão chegando e a litografia de gravação direta está surgindo

Tomemos como exemplo o TSMC CoWoS, que tem atraído muita atenção desde o ano passado. É uma tecnologia de embalagem 2.5D que é uma combinação de CoW e OS. Primeiro, o chip é conectado ao wafer de silício por meio do processo de empacotamento Chip on Wafer (CoW) e, em seguida, o chip CoW é conectado ao substrato (Substrato) para integrá-lo ao CoWoS. O núcleo desta tecnologia é empilhar diferentes chips no mesmo intermediário de silício para interconectar vários chips. No interposer de silício, a TSMC usa micro-saliências (μBmps), vias através de silício (TSV) e outras tecnologias para substituir a ligação de fio tradicional para conexões entre matrizes, melhorando significativamente a densidade de interconexão e a largura de banda de transmissão de dados. De acordo com os diferentes interpositores utilizados, a TSMC divide a tecnologia de embalagem CoWoS em três tipos: CoWoS-S (Silicon Interposer), CoWoS-R (RDL Interposer) e CoWoS-L (Local Silicon Interconnect e RDL Interposer).

Por exemplo, o CoWoS é usado para produzir chips de IA de alto desempenho para empresas como Nvidia, AMD, Amazon e Google. À medida que o número de transistores em chips de IA continua a aumentar e porque é usado em data centers e computação em nuvem, os requisitos de tamanho não são altos. Portanto, os futuros chips de IA provavelmente se tornarão cada vez maiores. Atualmente, a TSMC está usando a tecnologia de empacotamento CoWoS para desenvolver chips de IA maiores que o Instinct MI300X e o Nvidia B200 da AMD. A área de empacotamento atingiu 120 mm x 120 mm.



Pan Changlong, Diretor de Vendas de Pan-Semiconductor da Xinqi Micropackaging, destacou que atualmente a TSMC usa principalmente CoWoS-S. À medida que há cada vez mais designs de chips de grande área, há cada vez mais interpositores e o tamanho da máscara está ficando maior. e maiores. Quando intermediários são usados, se a camada atingir mais de quatro vezes o maior retículo do TSMC (retículo 1X≈830mm²) e 3,3 vezes maior que sua camada intermediária atual, ele passará para CoWoS-L.


Pan Changlong, Diretor de Vendas de Microembalagens de Semicondutores Pan-Semiconductor

Os dados mostram que o limite teórico do retículo EUV é de 858 mm² (26 mm x 33 mm), portanto, ao emendar seis máscaras, será alcançado um SiP de 5148 mm². Um interposer tão grande não apenas fornece espaço para vários chips de computação grandes, mas também deixa espaço suficiente para memória HBM de 12 pilhas, o que significa uma largura de banda de interface de memória de 12.288 bits de até 9,8 TB/segundo. Construir um SiP de 5148 mm² é uma tarefa extremamente difícil. O atual acelerador Nvidia H100, cuja embalagem abrange vários tamanhos de máscara em um interposer, custou até US$ 30.000. Como resultado, chips maiores e mais potentes provavelmente aumentarão ainda mais os custos de embalagem.

Além do CoWoS-L, algumas empresas de design de chips também começaram a estudar sistemas em nível de wafer (System on Wafer, SoW). Esse tipo de design usa todo o wafer como unidade de embalagem e chips relacionados à lógica, armazenamento e controle. precisa ser integrado por meio de empacotamento, a fiação do RDL será bastante complexa e o número de camadas RDL será cada vez maior.

Em relação às tendências dessas duas tecnologias avançadas de embalagem, Pan Changlong disse que embalagens de chips de áreas maiores trarão muitos desafios ao uso de máquinas tradicionais de litografia passo a passo.

Um é o problema da emenda da máscara. À medida que a área de embalagem aumenta, uma única máscara não pode cobrir todo o chip e várias máscaras precisam ser usadas e emendadas. Isso acrescenta complexidade ao processo de fabricação e pode levar a erros de alinhamento nas emendas, afetando o desempenho e o rendimento do produto final. Além disso, o aumento da área de embalagem pode aumentar empenamentos e defeitos durante o processo produtivo, resultando em diminuição do rendimento. Especialmente na área de costura da máscara, qualquer pequeno erro pode afetar o desempenho de todo o chip. Com a integração de chips e o uso de wafers de grande porte, o problema do empenamento dos wafers tornou-se cada vez mais sério e se tornou um dos principais desafios que afetam a confiabilidade das embalagens avançadas.

Em segundo lugar, a complexidade do projeto aumenta e a eficiência da produção diminui. O projeto de embalagens de grande porte requer tecnologia de fiação e empilhamento mais complexa. Por exemplo, a fiação da camada RDL será bastante complexa e o número de camadas aumentará, o que representa desafios extremamente elevados tanto para o trabalho de projeto quanto para o processo de fabricação. . Em particular, o design de embalagens de grande porte exige a troca de máscaras na máquina de fotolitografia para expor linhas na mesma camada. Essa troca frequente de máscara reduzirá a eficiência da produção e prolongará o ciclo de produção.

A terceira são as limitações do equipamento. O tamanho da máscara do equipamento tradicional de litografia de projeção escalonada é principalmente 26×33mm², e pode não haver experiência em lidar com problemas como empenamento de embalagens de grande porte. A fotolitografia para embalagens de grande porte requer equipamento com capacidade para lidar com wafers/transportadores de tamanho maior e lidar com problemas como empenamento.

Pan Changlong disse que, além das embalagens em nível de wafer, como CoWoS e SoW, a tecnologia de embalagem FoPLP também começou a ganhar impulso. As máquinas de litografia Stepper também são incapazes de lidar com essas embalagens de grandes áreas, e a tecnologia de litografia de gravação direta será. o melhor.

No campo dos pan-semicondutores, a tecnologia de litografia é dividida principalmente em litografia de máscara e litografia de gravação direta, dependendo do uso de máscara. A litografia de máscara pode ser dividida em litografia de proximidade/contato e litografia de projeção.A litografia de gravação direta, também conhecida como litografia sem máscara, refere-se a uma projeção focada em feixe de alta precisão controlada por computador na superfície de um substrato revestido com material fotossensível, sem a necessidade de uma máscara para exposição direta de digitalização. Por muito tempo no passado, a tecnologia de litografia com máscara foi a melhor escolha na rota do processo de litografia, no entanto, com o aumento do custo, no futuro, a tecnologia de litografia por escrita direta sem máscara poderá gradualmente se tornar mais popular na indústria devido às suas vantagens de custo; e layout da indústria se concentram. Especialmente no campo das embalagens avançadas, a tecnologia de litografia de gravação direta está gradualmente a tornar-se uma força chave na promoção da inovação da indústria com as suas vantagens únicas e amplo potencial de mercado.

Como a litografia de gravação direta reescreve o cenário do mercado de embalagens avançadas

Como líder do segmento em equipamentos domésticos de litografia de gravação direta, a Xinqi Micropackaging está acelerando continuamente seu desenvolvimento em placas transportadoras, embalagens avançadas, novos displays e mascaramento à medida que a demanda por placas transportadoras PCB e IC de médio a alto padrão aumenta e a localização aumentos de taxa. Layout na fabricação de modelos, dispositivos discretos de energia, revestimento de cobre fotovoltaico, etc. Pan Changlong disse que na área de embalagens avançadas,Além do custo e da conveniência de operação trazidos pela falta de máscara, o equipamento de litografia de gravação direta micromontado Xinqi também tem vantagens em RDL, interconexão, correção inteligente e adequação para embalagens de chips em grandes áreas. suavemente no lado do cliente e recebeu pedidos contínuos dos principais clientes de embalagens avançadas da China.

Pan Changlong resumiu várias vantagens importantes da aplicação da tecnologia de litografia de gravação direta em embalagens avançadas. Primeiro, a produção de máscaras é muitas vezes demorada e dispendiosa. A litografia de gravação direta não utiliza as máscaras exigidas pela litografia passo a passo tradicional.Ao realizar digitalmente a exposição do padrão diretamente no wafer de silício, o tempo desde o design do produto até o mercado é bastante reduzido e os custos de fabricação são significativamente reduzidos.Além disso, a tecnologia de litografia de gravação direta pode se adaptar a projetos RDL complexos e estruturas de embalagens multicamadas, o que pode ser difícil de alcançar na litografia passo a passo tradicional. Os clientes podem ajustar e otimizar projetos com mais flexibilidade para atender a diferentes necessidades, especialmente em P&D ou amostras. estágio de desenvolvimento.

Em segundo lugar, a tecnologia de litografia de gravação direta reduz a necessidade de troca e emenda de máscaras, simplificando o processo de produção e melhorando assim a eficiência da produção. Especialmente com o aumento da área de embalagens e o desenvolvimento de tecnologias como CoWoS-L e FoPLP, a tecnologia de litografia de gravação direta pode enfrentar eficazmente os desafios das embalagens de grande porte. Ele pode lidar com designs de embalagens de grandes áreas que excedem os tamanhos de máscaras tradicionais, evitando problemas de emenda de máscaras e melhorando a eficiência da produção. Ao mesmo tempo, a multissegmentação livre e os modos inteligentes de expansão e contração da fotolitografia direta têm excelente qualidade para lidar com a deformação por empenamento de multicamadas de grande porte em embalagens no nível do cartão.

Finalmente, em resposta à actual procura do mercado por localização e redução da dependência externa, a China continental está a aumentar os seus esforços para desenvolver embalagens avançadas, como CoWoS e Chiplet, para compensar a lacuna de desempenho, apesar das limitações dos processos avançados. escrita direta A tecnologia de litografia fornece uma solução autônoma e controlável que ajuda a reduzir os riscos da cadeia de suprimentos e a aumentar a competitividade das indústrias nacionais.


“À medida que os requisitos para chips de alto desempenho e grande poder de computação continuam a aumentar, a demanda por tecnologias de empacotamento avançadas, como CoWoS-L e FoPLP, continuará a crescer com o surgimento de produtos futuros, como RDL e SOW de grande porte. a tecnologia de litografia de gravação direta dependerá de suas vantagens em embalagens de grande porte e no custo inaugurarão um amplo espaço de mercado”, disse Pan Changlong.Atualmente, o equipamento de microembalagem Xinqi alcançou larguras de linha tão baixas quanto 2um, e os processos envolvidos incluem fiação vertical TSV, fiação horizontal colidindo com links RDL, etc., com máscaras digitais flexíveis e alto rendimento, atende aos requisitos de clientes de embalagens avançadas. Atualmente, vários dispositivos foram entregues aos clientes e a estabilidade e funcionalidade do produto foram verificadas.

É importante notar que, além do processo de fotolitografia, a litografia de gravação direta também apresenta vantagens técnicas significativas nas áreas de corte de wafer e correção inteligente.

Pan Changlong apontou que no processo de fabricação de chips, o processo de corte precisa ser usado para traçar o wafer. No entanto, o corte tradicional de diamante, o corte do rebolo ou o corte a laser causarão sérios danos ao wafer, resultando em tensão, rachaduras e danos ao wafer. Degradação do desempenho do chip e outros problemas. Atualmente, no campo de embalagens avançadas, os clientes sofisticados estão começando a usar o corte a plasma por gravação profunda em silício (DRIE) para substituir os métodos de corte tradicionais. No entanto, o DRIE requer um processo de exposição, mas esse processo de exposição não é complicado. A tecnologia de litografia de gravação direta pode desenhar linhas de corte precisas diretamente em pastilhas de silício ou outros materiais de base. Esses padrões podem ser linhas retas simples, curvas ou outras geometrias complexas. forma e permite arestas de corte mais suaves e precisas, reduzindo a tensão e os danos que podem ser introduzidos pelos métodos de corte tradicionais, como corte com faca ou corte a laser. Além disso, como a litografia de gravação direta utiliza um feixe digital e uma máscara virtual, não é necessária a confecção e substituição de máscaras físicas para cada padrão de corte diferente, o que economiza muito tempo e custo.

Outro cenário típico de CoWoS é a integração de vários HBMs em chips de IA, o que requer o empilhamento de vários chips DRAM para formar uma unidade de armazenamento de grande capacidade. A litografia de gravação direta pode ser usada neste processo para desenhar com precisão linhas de marcação para cortar e empilhar os cavacos.Comparado com os métodos de corte tradicionais, ele não apenas melhora a precisão do corte, mas também ajuda a obter um empilhamento de cavacos mais compacto, melhorando assim a densidade e o desempenho do armazenamento. . Além disso, a tecnologia de litografia de gravação direta também pode garantir alta planicidade da superfície do chip após o corte, o que é crucial para processos subsequentes, como a ligação híbrida.

"A aplicação da tecnologia de litografia de escrita direta nesses dois cenários de corte pode não apenas melhorar a precisão e a qualidade do corte, mas também reduzir os custos e o tempo de produção e melhorar a eficiência geral da produção", enfatizou Pan Changlong: "Através da litografia de escrita direta".

Além disso, a tecnologia de litografia de gravação direta é cada vez mais utilizada para correção inteligente.

Pan Changlong explicou que existem atualmente três grandes dificuldades técnicas nas embalagens de reconstrução de wafer para embalagens avançadas. A primeira é a mudança da matriz, que se refere ao desvio, expansão e contração durante o processo de transferência do chip. a posição predefinida, que requer correção; a segunda é o empenamento, que é uma deformação causada por uma incompatibilidade no coeficiente de expansão térmica do material EMC e da pastilha de silício, que pode levar a uma má exposição. ). Para o problema de deslocamento das partículas do núcleo, a tecnologia de litografia de gravação direta pode garantir a precisão do padrão da camada RDL alterando a fiação ou a camada PI ou a correção do padrão de correção de colisão. Além disso, no processo de colocação FoWLP, a solução de correção de PI baseada na litografia de escrita direta pode muito bem reduzir o erro de colocação da máquina de colocação. Portanto, nas áreas de deslocamento de grãos, empenamento de substrato, deformação de substrato, etc., a capacidade de ajuste adaptativo da tecnologia de litografia de gravação direta oferece as vantagens de alto rendimento e boa consistência.

Como as vantagens da litografia de gravação direta em comparação com a litografia escalonada se refletem principalmente na capacidade de obter ajuste de padrão em tempo real sem máscara física e melhorar a eficiência e o rendimento da produção, ela pode se adaptar à correção complexa de multicamadas e de grande porte. pacotes. Sua flexibilidade e capacidade de correção de alta precisão simplificam o processo de produção, reduzem custos e apoiam o rápido desenvolvimento de tecnologia de embalagem avançada para atender à demanda do mercado por chips de alto desempenho e alta densidade.

Oportunidades e desafios coexistem, e a cadeia ecológica da litografia de escrita direta está sendo remodelada

De acordo com estimativas da Yole e Jiwei Consulting, o mercado global de embalagens avançadas crescerá de US$ 37,9 bilhões para US$ 48,2 bilhões de 2022 a 2026, com um CAGR de 6,2%. No futuro, a proporção de tecnologia de embalagem avançada em todo o mercado de embalagens aumentará gradualmente. O desenvolvimento de embalagens 3D, embalagens setoriais (FOWLP/PLP), tecnologia de ligação de fios de micropitch e embalagens em nível de sistema (SiP) tornou-se. importante continuar o caminho da Lei de Moore.

Ao mesmo tempo, Yole também prevê que, no campo de embalagens IC avançadas, os equipamentos de litografia de escrita direta a laser amadurecerão gradualmente e ocuparão uma certa participação de mercado nos próximos três anos, com boas perspectivas de aplicação no mercado. É verdade que a tecnologia de litografia de escrita directa está a começar a surgir no campo das embalagens avançadas, mas ainda precisa de superar uma série de desafios técnicos e de mercado antes de poder ser utilizada na produção em massa em grande escala.

Pan Changlong destacou que, em primeiro lugar,Com o desenvolvimento da tecnologia avançada de embalagens, os requisitos de precisão da fotolitografia estão cada vez maiores. . A tecnologia de litografia de gravação direta precisa melhorar ainda mais sua resolução para atender aos requisitos de embalagem para larguras de linha menores e maior densidade.Em segundo lugar, a litografia de gravação direta ainda não é totalmente comparável à litografia escalonada em termos de rendimento e taxa de produção (UPH), e o gargalo do rendimento é que ainda não existe um fotorresistente desenvolvido especificamente para litografia de gravação direta no mercado e fontes de luz correspondentes. .Os materiais tradicionais de camada fotorresistente e dielétrica são projetados para máquinas de litografia escalonada, e a tecnologia de litografia de gravação direta precisa ser melhor combinada com esses materiais para garantir a qualidade e a eficiência da litografia.Finalmente, muitos clientes de embalagens ainda não entendem a tecnologia de litografia de gravação direta, e é necessária mais educação no mercado e popularização da tecnologia para melhorar a conscientização e a aceitação do cliente.E como destacar as vantagens únicas da microdecoração Xinqi na competição do mercado e conquistar a confiança dos clientes também é um grande desafio.

Como o desenvolvimento da indústria nacional de semicondutores na área de processos avançados é limitado, a demanda por embalagens avançadas está aumentando a cada dia. Atualmente, a pesquisa e o desenvolvimento da China continental em campos de embalagens 2,5D e 3D, como CoWoS, estão acelerando. A Xinqi Micropackaging formulou e adotou uma série de planos e medidas práticas e eficazes para promover a localização de embalagens avançadas.

"A P&D localizada é uma das principais estratégias da Xinqi Micro Equipment. A empresa estabeleceu uma forte equipe local de P&D que se concentra na inovação tecnológica e no desenvolvimento de produtos para garantir que a tecnologia possa responder às necessidades dos clientes nacionais em tempo hábil. Através de pesquisa e desenvolvimento localizados, a Xinqi Micro Packaging pode se adaptar rapidamente às mudanças do mercado e promover o progresso tecnológico. "Pan Changlong disse:" Em termos de melhoria do rendimento da litografia de gravação direta e da eficiência da produção, a Xinqi Micropackaging também estabeleceu uma estreita cooperação com as cadeias industriais nacionais a montante e a jusante. Por exemplo, no fotorresistente de suporte, a Xinqi Micro Devices está trabalhando em estreita colaboração com os fabricantes de fotorresistentes i-line e KrF do Japão e do continente para realizar verificação de produção, ajuste de fórmula e outros trabalhos para melhorar a viabilidade da produção em massa. Ao mesmo tempo, a Xinqi Micropackaging também estabeleceu relações de cooperação estreitas com fábricas de embalagens nacionais, empresas de design e fábricas de wafer para entender as necessidades dos clientes e feedback de uso e fornecer-lhes soluções personalizadas. "

Vale a pena mencionar que,A Xinqi Micro-assembly está comprometida em aumentar a proporção de localização de peças. Atualmente, mais de 90% das peças foram localizadas.Isto não só reduz a dependência de peças e componentes importados e aumenta a estabilidade da cadeia de abastecimento, mas também reduz os custos de produção e melhora a competitividade dos produtos no mercado.

À medida que a tecnologia continua a amadurecer e o mercado a reconhece gradualmente, toda a cadeia ecológica será remodelada. Todos os elos da cadeia ecológica, desde os fornecedores de materiais aos fabricantes de equipamentos e às empresas de embalagem final, estão a começar a adaptar-se ativamente a esta mudança. produtos, novos processos e novas soluções compatíveis com a tecnologia de litografia de escrita direta. Esta cooperação intersetorial e interdisciplinar acelerará ainda mais a inovação e a aplicação da tecnologia de litografia de gravação direta.

Acredita-se que a litografia de gravação direta não só desempenhará um papel cada vez mais importante no campo das embalagens avançadas, mas também se tornará um importante impulsionador na remodelação da estrutura da cadeia da indústria nacional de semicondutores e na melhoria da competitividade industrial.