Nachricht

Um die anspruchsvollen Verpackungsherausforderungen zu bewältigen, unterstützt die Mikroverpackungs-Direktschreiblithografietechnologie von Xinqi lokale innovative Durchbrüche

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Anwendungen wie künstliche Intelligenz (KI) und Hochleistungsrechnen (HPC) haben zu einem Anstieg der Nachfrage nach Hochleistungs-Rechenchips geführt. Da das Mooresche Gesetz an seine Grenzen stößt, wird fortschrittliches Packaging allmählich zum Schlüssel zur Verbesserung der Chipleistung. Derzeit helfen viele fortschrittliche Verpackungstechnologien wie 2,5D, 3D-IC, heterogene Integration und Chiplets Chipdesignern dabei, mehr Funktionen in Chips mit kleinerer Größe und geringerem Stromverbrauch bereitzustellen und einen Leistungssprung zu erzielen. Allerdings bringen diese technologischen Fortschritte auch beispiellose Herausforderungen mit sich, die höhere Anforderungen an bestehende Fertigungsprozesse, Geräte und Materialien stellen.

Zu immer fortschrittlicheren Verpackungen gehören Prozesse, die als „Mittelweg“ zwischen Wafer-Herstellung („Front-End“) und Chip-Verpackung und -Test („Back-End“) bezeichnet werden, einschließlich Umverteilung (RDL), Bump-Produktion (Bumping) und durch Silizium Via (TSV) und andere Prozesstechnologien umfassen Fotolithographie, Entwicklung, Ätzen, Strippen und andere Prozessschritte ähnlich der Waferherstellung. Unter diesen spielt die Fotolithographie-Technologie eine entscheidende Rolle bei der Herstellung von Flip-Chip-Struktur-Packaging-Bumping, RDL, 2,5D/3D-Packaging-TSV usw. im Bereich der fortschrittlichen Verpackung.

Heute hat die Direktschreiblithographie im Bereich der Leiterplattenverpackung und der Herstellung hochwertiger IC-Substrate (Substrate) die herkömmliche Lithographie in den Bereichen High-End-Displays, fortschrittliche Verpackungen und Halbleiter der dritten Generation vollständig ersetzt Auch die Schreiblithographie hat begonnen zu entstehen. Im Zuge der fortschrittlichen Verpackung bringt Xinqi Micropackaging, der inländische Marktführer in der Direktschreib-Lithografietechnologie, mit seiner hervorragenden Leistung und seinen innovativen technischen Lösungen bahnbrechende Veränderungen in die Branche.

Fortschrittliche Verpackungen stehen vor der Tür und die Direktschreiblithographie ist auf dem Vormarsch

Nehmen wir als Beispiel TSMC CoWoS, das seit letztem Jahr große Aufmerksamkeit erregt hat. Dabei handelt es sich um eine 2,5D-Verpackungstechnologie, die eine Kombination aus CoW und OS darstellt. Zuerst wird der Chip durch den Chip-on-Wafer (CoW)-Verpackungsprozess mit dem Siliziumwafer verbunden, und dann wird der CoW-Chip mit dem Substrat (Substrat) verbunden, um ihn in CoWoS zu integrieren. Der Kern dieser Technologie besteht darin, verschiedene Chips auf demselben Silizium-Interposer zu stapeln, um mehrere Chips miteinander zu verbinden. Beim Silizium-Interposer verwendet TSMC Micro-Bumps (μBmps), Through-Silicon Vias (TSV) und andere Technologien, um das herkömmliche Drahtbonden für Verbindungen zwischen den Chips zu ersetzen und so die Verbindungsdichte und Datenübertragungsbandbreite erheblich zu verbessern. Entsprechend den unterschiedlichen verwendeten Interposern unterteilt TSMC die CoWoS-Verpackungstechnologie in drei Typen: CoWoS-S (Silicon Interposer), CoWoS-R (RDL Interposer) und CoWoS-L (Local Silicon Interconnect und RDL Interposer).

CoWoS wird beispielsweise zur Herstellung leistungsstarker KI-Chips für Unternehmen wie Nvidia, AMD, Amazon und Google verwendet. Da die Anzahl der Transistoren in KI-Chips weiter zunimmt und weil es in Rechenzentren und im Cloud Computing eingesetzt wird, Die Größenanforderungen sind daher nicht hoch. Künftige KI-Chips werden daher wahrscheinlich immer größer. Derzeit nutzt TSMC die CoWoS-Verpackungstechnologie, um KI-Chips zu entwickeln, die größer sind als AMDs Instinct MI300X und Nvidia B200. Die Verpackungsfläche hat 120 mm x 120 mm erreicht.



Pan Changlong, Vertriebsleiter für Pan-Semiconductor bei Xinqi Micropackaging, wies darauf hin, dass TSMC derzeit hauptsächlich CoWoS-S verwendet, da es immer mehr großflächige Chipdesigns gibt, es immer mehr Interposer gibt und die Maskengröße immer größer wird Wenn Zwischenschichten verwendet werden, wird die Schicht zu CoWoS-L verschoben, wenn sie mehr als das Vierfache des größten Absehens von TSMC (1X Absehen ≈830 mm²) und 3,3 Mal höher als die aktuelle Zwischenschicht erreicht.


Pan Changlong, Vertriebsleiter von Semiconductor Micropackaging Pan-Semiconductor

Die Daten zeigen, dass die theoretische EUV-Retikelgrenze 858 mm² (26 mm x 33 mm) beträgt, sodass durch das Zusammenfügen von sechs Masken ein SiP von 5148 mm² erreicht wird. Ein so großer Interposer bietet nicht nur Platz für mehrere große Rechenchiplets, sondern lässt auch genügend Platz für 12-Stack-HBM-Speicher, was eine 12288-Bit-Speicherschnittstellenbandbreite von bis zu 9,8 TB/Sekunde bedeutet. Der Bau eines 5148 mm² großen SiP ist eine äußerst schwierige Aufgabe. Der aktuelle Nvidia H100-Beschleuniger, dessen Gehäuse sich über mehrere Maskengrößen auf einem Interposer erstreckt, hat bis zu 30.000 US-Dollar gekostet. Infolgedessen dürften größere, leistungsstärkere Chips die Verpackungskosten weiter in die Höhe treiben.

Zusätzlich zu CoWoS-L haben einige Chipdesign-Unternehmen damit begonnen, Systeme auf Waferebene (System on Wafer, SoW) zu untersuchen. Bei dieser Art von Design wird der gesamte Wafer als Verpackungseinheit sowie Logik-, Speicher- und Steuerungschips verwendet müssen durch Verpackung integriert werden, die Verkabelung von RDL wird ziemlich komplex sein und die Anzahl der RDL-Schichten wird immer höher.

In Bezug auf die Trends dieser beiden fortschrittlichen Verpackungstechnologien sagte Pan Changlong, dass die großflächige Chipverpackung viele Herausforderungen für den Einsatz herkömmlicher Stepper-Lithographiemaschinen mit sich bringen werde.

Eines davon ist das Problem des Maskenspleißens. Da die Verpackungsfläche zunimmt, kann eine einzelne Maske nicht den gesamten Chip abdecken und es müssen mehrere Masken verwendet und gespleißt werden. Dies erhöht die Komplexität des Herstellungsprozesses und kann zu Ausrichtungsfehlern an den Verbindungsstellen führen, was die Leistung und Ausbeute des Endprodukts beeinträchtigt. Darüber hinaus kann die Vergrößerung der Verpackungsfläche zu mehr Verzug und Defekten während des Produktionsprozesses führen, was zu einer Verringerung der Ausbeute führt. Insbesondere im Masken-Stitching-Bereich kann jeder kleine Fehler die Leistung des gesamten Chips beeinträchtigen. Mit der Integration von Chips und der Verwendung großformatiger Wafer ist das Problem der Waferverformung immer gravierender geworden und zu einer der größten Herausforderungen für die Zuverlässigkeit fortschrittlicher Verpackungen geworden.

Zweitens nimmt die Designkomplexität zu und die Produktionseffizienz ab. Das Design großer Pakete erfordert eine komplexere Verdrahtungs- und Stapeltechnologie. Beispielsweise wird die Verdrahtung der RDL-Schicht recht komplex sein und die Anzahl der Schichten wird zunehmen, was sowohl die Designarbeit als auch den Herstellungsprozess vor große Herausforderungen stellt . Insbesondere das Design großer Verpackungen erfordert einen Maskenwechsel in der Fotolithographiemaschine, um Linien auf derselben Schicht freizulegen. Dieser häufige Maskenwechsel verringert die Produktionseffizienz und verlängert den Produktionszyklus.

Der dritte Punkt sind Einschränkungen bei der Ausrüstung. Die Maskengröße herkömmlicher Stepper-Projektionslithographiegeräte beträgt meist 26×33 mm², und es liegen möglicherweise keine Erfahrungen im Umgang mit Problemen wie dem Verzug großer Pakete vor. Die Fotolithografie für großformatige Pakete erfordert Geräte, die in der Lage sind, größere Wafer/Träger zu handhaben und Probleme wie Verzug zu bewältigen.

Pan Changlong sagte, dass neben der Wafer-Level-Verpackung wie CoWoS und SoW auch die FoPLP-Verpackungstechnologie an Fahrt gewonnen hat. Auch Stepper-Lithographiemaschinen sind nicht in der Lage, solche großflächigen Verpackungen zu bewältigen, und die Direktschreib-Lithographie-Technologie wird es sein das Beste wählen.

Im Pan-Halbleiter-Bereich wird die Lithographietechnologie hauptsächlich in Maskenlithographie und Direktschreiblithographie unterteilt, je nachdem, ob eine Maske verwendet wird. Die Maskenlithographie kann weiter in Proximity/Contact-Lithographie und Projektionslithographie unterteilt werden.Direktschreiblithographie, auch maskenlose Lithographie genannt, bezeichnet eine computergesteuerte, hochpräzise strahlfokussierte Projektion auf die Oberfläche eines mit lichtempfindlichem Material beschichteten Substrats, ohne dass eine Maske für die direkte Scanbelichtung erforderlich ist. Lange Zeit war die Maskenlithographie-Technologie die beste Wahl im Lithographie-Prozess. Angesichts der steigenden Kosten könnte die maskenlose Direktschreib-Lithographie-Technologie aufgrund ihrer Kostenvorteile in der Zukunft jedoch allmählich an Beliebtheit gewinnen und Branchenlayout konzentrieren sich auf. Insbesondere im Bereich der fortschrittlichen Verpackung entwickelt sich die Direktschreib-Lithographietechnologie mit ihren einzigartigen Vorteilen und ihrem breiten Marktpotenzial allmählich zu einer Schlüsselkraft bei der Förderung von Brancheninnovationen.

Wie die Direktschreiblithographie die Marktlandschaft für fortschrittliche Verpackungen neu definiert

Als Segmentführer für inländische Direktschreib-Lithographiegeräte beschleunigt Xinqi Micropackaging kontinuierlich seine Entwicklung in den Bereichen Trägerplatinen, fortschrittliche Verpackungen, neue Displays und Maskierung, da die Nachfrage nach Leiterplatten- und IC-Trägerplatinen der mittleren bis oberen Preisklasse und die Lokalisierung steigt Ratenerhöhungen bei der Schablonenherstellung, diskreten Leistungsgeräten, Photovoltaik-Kupferbeschichtung usw. Pan Changlong sagte, dass im Bereich der fortschrittlichen VerpackungZusätzlich zu den Kosten und der Benutzerfreundlichkeit, die durch das Fehlen einer Maske entstehen, bieten die mikromontierten Direktschreiblithographiegeräte von Xinqi auch Vorteile in Bezug auf RDL, Verbindung, intelligente Korrektur und Eignung für die großflächige Chipverpackung reibungslos auf Kundenseite und hat kontinuierlich Nachbestellungen von Chinas führenden Kunden für fortschrittliche Verpackungen erhalten.

Pan Changlong fasste mehrere Hauptvorteile der Anwendung der Direktschreib-Lithographietechnologie auf fortschrittliche Verpackungen zusammen. Erstens ist die Herstellung von Masken oft zeitaufwändig und kostspielig. Bei der Direktschreiblithographie werden nicht die Masken verwendet, die bei der herkömmlichen Stepper-Lithographie erforderlich sind.Durch die digitale Musterbelichtung direkt auf dem Siliziumwafer wird die Zeit vom Produktdesign bis zur Markteinführung erheblich verkürzt und die Herstellungskosten erheblich gesenkt.Darüber hinaus lässt sich die Direct-Write-Lithographie-Technologie an komplexe RDL-Designs und mehrschichtige Verpackungsstrukturen anpassen, was bei der herkömmlichen Stepper-Lithographie möglicherweise schwierig zu erreichen ist. Kunden können Designs flexibler an unterschiedliche Anforderungen anpassen und optimieren, insbesondere in Forschung und Entwicklung oder bei der Bemusterung Entwicklungsstadium.

Zweitens reduziert die Direktschreib-Lithografietechnologie den Bedarf an Maskenaustausch und Spleißen, vereinfacht den Produktionsprozess und verbessert dadurch die Produktionseffizienz. Insbesondere mit der Vergrößerung der Verpackungsfläche und der Entwicklung von Technologien wie CoWoS-L und FoPLP kann die Direktschreiblithographietechnologie die Herausforderungen großformatiger Verpackungen effektiv meistern. Es kann großflächige Verpackungsdesigns verarbeiten, die über herkömmliche Maskengrößen hinausgehen, wodurch Probleme beim Maskenspleißen vermieden und die Produktionseffizienz verbessert werden. Gleichzeitig bieten die freie Multisegmentierung und die intelligenten Expansions- und Kontraktionsmodi der direkten Fotolithographie eine hervorragende Qualität bei der Bewältigung von Verformungen durch Verformung großformatiger Mehrschichten in Verpackungen auf Platinenebene.

Schließlich verstärkt Festlandchina als Reaktion auf die aktuelle Marktnachfrage nach Lokalisierung und Reduzierung der externen Abhängigkeit seine Bemühungen, fortschrittliche Verpackungen wie CoWoS und Chiplet zu entwickeln, um die Leistungslücke trotz der Einschränkungen fortschrittlicher Prozesse auszugleichen. Die Direktschreib-Lithographietechnologie bietet eine autonome und kontrollierbare Lösung, die dazu beiträgt, Risiken in der Lieferkette zu reduzieren und die Wettbewerbsfähigkeit der heimischen Industrie zu verbessern.


„Da die Anforderungen an leistungsstarke Chips mit großer Rechenleistung weiter steigen, wird die Nachfrage nach fortschrittlichen Verpackungstechnologien wie CoWoS-L und FoPLP mit dem Aufkommen zukünftiger Produkte wie großformatiger RDL und SOW weiter wachsen.“ Die Direktschreib-Lithographietechnologie wird sich auf ihre Vorteile bei der großen Verpackung und den Kosten verlassen und einen breiten Markt eröffnen“, sagte Pan Changlong.Gegenwärtig haben Xinqi-Mikrogehäusegeräte Leitungsbreiten von nur 2 µm erreicht, und die beteiligten Prozesse umfassen vertikale Verdrahtung (TSV), horizontale Verdrahtung (Bumping) von RDL-Links usw.Mit flexiblen digitalen Masken und hohen Erträgen erfüllt es die Anforderungen von Kunden im Bereich Advanced Packaging. Derzeit wurden mehrere Geräte an Kunden ausgeliefert und die Stabilität und Funktionalität des Produkts überprüft.

Bemerkenswert ist, dass die Direktschreiblithographie neben dem Fotolithographieverfahren auch erhebliche technische Vorteile in den Bereichen Waferschneiden und intelligente Korrektur aufweist.

Pan Changlong wies darauf hin, dass beim Chip-Herstellungsprozess der Schneidprozess zum Ritzen des Wafers verwendet werden muss. Das herkömmliche Diamantschneiden, Schleifscheibenschneiden oder Laserschneiden führt jedoch zu ernsthaften Schäden am Wafer, was zu Waferspannung, Rissen und Rissen führt. Leistungsabfall des Chips und andere Probleme. Derzeit beginnen High-End-Kunden im Bereich der fortschrittlichen Verpackung damit, herkömmliche Schneidmethoden durch das Plasmaschneiden mit tiefer Siliziumätzung (DRIE) zu ersetzen. DRIE erfordert jedoch einen Belichtungsprozess, aber dieser Belichtungsprozess ist nicht kompliziert. Die Direktschreiblithographie-Technologie kann direkt präzise Schnittlinien auf Siliziumwafern oder anderen Basismaterialien zeichnen. Diese Muster können einfache gerade Linien, Kurven oder andere komplexe Geometrien sein. Form und ermöglicht glattere und präzisere Schnittkanten, wodurch die Belastung und Beschädigung reduziert wird, die durch herkömmliche Schneidmethoden wie Messerschneiden oder Laserschneiden entstehen können. Da die Direktschreiblithographie außerdem einen digitalen Strahl und eine virtuelle Maske verwendet, ist es nicht erforderlich, physische Masken für jedes unterschiedliche Schnittmuster herzustellen und auszutauschen, was erheblich Kosten und Zeit spart.

Ein weiteres typisches CoWoS-Szenario ist die Integration mehrerer HBMs in KI-Chips, was das Stapeln mehrerer DRAM-Chips zu einer Speichereinheit mit großer Kapazität erfordert. In diesem Prozess kann die Direktschreiblithographie verwendet werden, um Ritzlinien zum Schneiden und Stapeln der Chips präzise zu zeichnen.Im Vergleich zu herkömmlichen Schneidmethoden verbessert es nicht nur die Schnittgenauigkeit, sondern trägt auch zu einer engeren Spanstapelung bei und verbessert so die Speicherdichte und Leistung. . Darüber hinaus kann die Direktschreib-Lithographietechnologie auch eine hohe Ebenheit der Chipoberfläche nach dem Schneiden gewährleisten, was für nachfolgende Prozesse wie das Hybridbonden von entscheidender Bedeutung ist.

„Der Einsatz der Direktschreiblithographie-Technologie in diesen beiden Schneidszenarien kann nicht nur die Genauigkeit und Qualität des Schneidens verbessern, sondern auch die Produktionskosten und -zeit reduzieren und die Gesamtproduktionseffizienz verbessern“, betonte Pan Changlong.

Darüber hinaus wird die Direct-Write-Lithographie-Technologie zunehmend zur intelligenten Korrektur eingesetzt.

Pan Changlong erklärte, dass es derzeit drei große technische Schwierigkeiten bei der Wafer-Rekonstruktionsverpackung für fortgeschrittene Verpackungen gibt. Die erste ist die Die-Verschiebung, die sich auf Abweichung, Ausdehnung und Kontraktion während des Chip-Transferprozesses bezieht die voreingestellte Position, die korrigiert werden muss; die zweite ist eine Verformung, die durch eine Nichtübereinstimmung des Wärmeausdehnungskoeffizienten des EMC-Materials und des Siliziumwafers verursacht wird, die zu einer schlechten Belichtung führen kann ). Für das Versatzproblem von Kernpartikeln kann die Direktschreiblithographietechnologie die Genauigkeit des RDL-Schichtmusters sicherstellen, indem die Verdrahtung oder die PI-Schicht oder die Bump-Korrekturmusterkorrektur geändert wird. Darüber hinaus kann im FoWLP-Bestückungsprozess die auf Direktschreiblithographie basierende PI-Korrekturlösung den Bestückungsfehler der Bestückungsmaschine sehr gut reduzieren. Daher bietet die adaptive Anpassungsfähigkeit der Direktschreiblithographietechnologie in den Bereichen Kornversatz, Substratverzug, Substratverformung usw. die Vorteile einer hohen Ausbeute und einer guten Konsistenz.

Da sich die Vorteile der Direktschreiblithographie im Vergleich zur Stepper-Lithographie hauptsächlich in der Fähigkeit widerspiegeln, eine Musteranpassung in Echtzeit ohne physische Maske zu erreichen und die Produktionseffizienz und -ausbeute zu verbessern, kann sie an komplexe Korrekturen von Mehrschicht- und Großformaten angepasst werden Pakete benötigen. Seine Flexibilität und hochpräzisen Korrekturmöglichkeiten vereinfachen den Produktionsprozess, senken die Kosten und unterstützen die schnelle Entwicklung fortschrittlicher Verpackungstechnologie, um die Nachfrage des Marktes nach leistungsstarken Chips mit hoher Dichte zu erfüllen.

Chancen und Herausforderungen existieren nebeneinander, und die ökologische Kette der Direktschreiblithographie wird neu gestaltet

Schätzungen von Yole und Jiwei Consulting zufolge wird der globale Markt für fortschrittliche Verpackungen von 2022 bis 2026 von 37,9 Milliarden US-Dollar auf 48,2 Milliarden US-Dollar wachsen, mit einer durchschnittlichen jährlichen Wachstumsrate von 6,2 %. In Zukunft wird der Anteil fortschrittlicher Verpackungstechnologie am gesamten Verpackungsmarkt schrittweise zunehmen. Die Entwicklung von 3D-Verpackungen, Sektorverpackungen (FOWLP/PLP), Micro-Pitch-Drahtbondtechnologie und Systemebenenverpackungen (SiP) hat zugenommen wichtig, Moores Gesetz fortzusetzen.

Gleichzeitig prognostiziert Yole auch, dass im Bereich der fortschrittlichen IC-Verpackung Laser-Direktschreiblithographiegeräte in den nächsten drei Jahren allmählich ausgereift sein und einen gewissen Marktanteil einnehmen werden, mit guten Marktanwendungsaussichten. Es ist wahr, dass die Direktschreib-Lithographietechnologie im Bereich der fortschrittlichen Verpackung gerade erst auf dem Vormarsch ist, aber sie muss noch eine Reihe technischer und marktbezogener Herausforderungen überwinden, bevor sie in der Massenproduktion im großen Maßstab eingesetzt werden kann.

Pan Changlong wies zunächst darauf hin,Mit der Entwicklung fortschrittlicher Verpackungstechnologie werden die Anforderungen an die Genauigkeit der Fotolithografie immer höher. . Die Direktschreib-Lithographietechnologie muss ihre Auflösung weiter verbessern, um den Verpackungsanforderungen für kleinere Linienbreiten und höhere Dichte gerecht zu werden.Zweitens ist die Direktschreiblithographie in Bezug auf Ausbeute und Produktionsrate (UPH) noch nicht vollständig mit der Stepper-Lithographie vergleichbar, und der Engpass bei der Ausbeute besteht darin, dass es auf dem Markt noch keinen speziell für die Direktschreiblithographie entwickelten Fotolack und passende Lichtquellen gibt .Herkömmliche Fotolack- und dielektrische Schichtmaterialien sind für Stepper-Lithographiemaschinen konzipiert, und die Direktschreiblithographietechnologie muss besser auf diese Materialien abgestimmt werden, um die Qualität und Effizienz der Lithographie sicherzustellen.Schließlich mangelt es vielen Verpackungskunden immer noch an Verständnis für die Direktschreiblithografie-Technologie, und es sind mehr Marktaufklärung und Technologiepopularisierung erforderlich, um das Bewusstsein und die Akzeptanz bei den Kunden zu verbessern.Und es ist auch eine große Herausforderung, die einzigartigen Vorteile der Xinqi-Mikrodekoration im Marktwettbewerb hervorzuheben und das Vertrauen der Kunden zu gewinnen.

Da die Entwicklung der inländischen Halbleiterindustrie im Bereich fortschrittlicher Prozesse begrenzt ist, steigt die Nachfrage nach fortschrittlichen Verpackungen von Tag zu Tag. Derzeit beschleunigt sich die Forschung und Entwicklung auf dem chinesischen Festland in 2,5D- und 3D-Verpackungsbereichen wie CoWoS. Xinqi Micropackaging hat eine Reihe praktischer und wirksamer Pläne und Maßnahmen zur Förderung der Lokalisierung fortschrittlicher Verpackungen formuliert und verabschiedet.

„Lokale Forschung und Entwicklung ist eine der Kernstrategien von Xinqi Micro Equipment. Das Unternehmen hat ein starkes lokales Forschungs- und Entwicklungsteam aufgebaut, das sich auf technologische Innovation und Produktentwicklung konzentriert, um sicherzustellen, dass die Technologie zeitnah auf die Bedürfnisse inländischer Kunden reagieren kann.“ Durch lokalisierte Forschung und Entwicklung kann sich Xinqi Micro Packaging schnell an Marktveränderungen anpassen und den technologischen Fortschritt vorantreiben. „Pan Changlong sagte: „Im Hinblick auf die Verbesserung der Ausbeute und Produktionseffizienz der Direktschreiblithographie hat Xinqi Micropackaging auch eine enge Zusammenarbeit mit den inländischen Upstream- und Downstream-Industrieketten aufgebaut.“ Beim unterstützenden Fotolack beispielsweise arbeitet Xinqi Micro Devices eng mit i-line- und KrF-Fotolackherstellern in Japan und auf dem Festland zusammen, um Produktionsüberprüfungen, Rezeptanpassungen und andere Arbeiten durchzuführen, um die Durchführbarkeit der Massenproduktion zu verbessern. Gleichzeitig hat Xinqi Micropackaging auch enge Kooperationsbeziehungen mit inländischen Verpackungsbetrieben, Designunternehmen und Waferfabriken aufgebaut, um die Bedürfnisse und Nutzungsrückmeldungen der Kunden zu verstehen und ihnen maßgeschneiderte Lösungen anzubieten. "

Es lohnt sich das zu erwähnen,Xinqi Micro-Assembly ist bestrebt, den Anteil der Lokalisierung von Teilen zu erhöhen. Derzeit sind mehr als 90 % der Teile lokalisiert.Dies verringert nicht nur die Abhängigkeit von importierten Teilen und Komponenten und erhöht die Stabilität der Lieferkette, sondern senkt auch die Produktionskosten und verbessert die Wettbewerbsfähigkeit der Produkte auf dem Markt.

Da die Technologie weiter reift und der Markt dies allmählich erkennt, wird sich die gesamte ökologische Kette, von den Materiallieferanten über die Gerätehersteller bis hin zu den Endverpackungsunternehmen, neu gestalten Produkte, neue Prozesse und neue Lösungen, die mit der Direktschreiblithographietechnologie kompatibel sind. Diese branchen- und bereichsübergreifende Zusammenarbeit wird die Innovation und Anwendung der Direktschreib-Lithographietechnologie weiter beschleunigen.

Man geht davon aus, dass die Direktschreiblithographie nicht nur im Bereich der fortschrittlichen Verpackung eine immer wichtigere Rolle spielen wird, sondern auch zu einem wichtigen Treiber für die Neugestaltung der Struktur der heimischen Halbleiterindustriekette und die Verbesserung der industriellen Wettbewerbsfähigkeit werden wird.