nouvelles

Pour faire face aux défis avancés de l'emballage, la technologie de lithographie à écriture directe par micro-emballage Xinqi contribue aux percées innovantes locales

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Des applications telles que l'intelligence artificielle (IA) et le calcul haute performance (HPC) ont entraîné une augmentation de la demande de puces informatiques haute puissance. Alors que la loi de Moore approche de sa limite, le packaging avancé devient progressivement la clé de l'amélioration des performances des puces. Actuellement, de nombreuses technologies de packaging avancées telles que le 2.5D, le 3D-IC, l'intégration hétérogène et les chipsets aident les concepteurs de puces à fournir davantage de fonctions dans des puces de plus petite taille et à faible consommation d'énergie, et à réaliser un bond en avant en termes de performances. Cependant, ces avancées technologiques entraînent également des défis sans précédent, qui imposent des exigences plus élevées aux processus de fabrication, équipements et matériaux existants.

Le conditionnement de plus en plus avancé implique des processus connus sous le nom de « voie médiane » entre la fabrication de plaquettes (« front-end ») et le conditionnement et les tests de puces (« back-end »), y compris la redistribution (RDL), la production de bosses (Bumping) et le silicium via (TSV) et d'autres technologies de processus impliquent la photolithographie, le développement, la gravure, le décapage et d'autres étapes de processus similaires à la fabrication de plaquettes. Parmi eux, la technologie de photolithographie joue un rôle crucial. Les équipements de photolithographie ont été largement utilisés dans la production de packaging bumping à structure flip-chip, de RDL, d'emballage 2.5D/3D TSV, etc. dans le domaine de l'emballage avancé.

Aujourd'hui, dans le domaine du packaging au niveau des cartes et de la fabrication de substrats IC (substrat) haut de gamme, la lithographie à écriture directe a complètement remplacé la lithographie traditionnelle dans les domaines de l'affichage haut de gamme, du packaging avancé et des semi-conducteurs de troisième génération, La lithographie par écriture a également commencé à émerger. Dans le cadre de l'emballage avancé, Xinqi Micropackaging, leader national de la technologie de lithographie à écriture directe, apporte des changements révolutionnaires au secteur grâce à ses excellentes performances et ses solutions techniques innovantes.

Un packaging avancé arrive et la lithographie à écriture directe fait son apparition

Prenons comme exemple TSMC CoWoS, qui a attiré beaucoup d'attention depuis l'année dernière. Il s'agit d'une technologie d'emballage 2.5D qui est une combinaison de CoW et d'OS. Tout d'abord, la puce est connectée à la tranche de silicium via le processus d'emballage Chip on Wafer (CoW), puis la puce CoW est connectée au substrat (Substrate) pour l'intégrer dans CoWoS. Le cœur de cette technologie consiste à empiler différentes puces sur le même interposeur en silicium pour interconnecter plusieurs puces. Dans l'interposeur en silicium, TSMC utilise des micro-bosses (μBmps), des vias traversants en silicium (TSV) et d'autres technologies pour remplacer la liaison filaire traditionnelle pour les connexions entre les puces, améliorant ainsi considérablement la densité d'interconnexion et la bande passante de transmission de données. Selon les différents interposeurs utilisés, TSMC divise la technologie de packaging CoWoS en trois types : CoWoS-S (Silicon Interposer), CoWoS-R (RDL Interposer) et CoWoS-L (Local Silicon Interconnect et RDL Interposer).

Par exemple, CoWoS est utilisé pour produire des puces d'IA hautes performances pour des entreprises telles que Nvidia, AMD, Amazon et Google. Alors que le nombre de transistors dans les puces d'IA continue d'augmenter et parce qu'il est utilisé dans les centres de données et le cloud computing, les exigences de taille ne sont pas élevées. Par conséquent, les futures puces IA deviendront probablement de plus en plus grandes. Actuellement, TSMC utilise la technologie d'emballage CoWoS pour développer des puces IA plus grandes que les Instinct MI300X et Nvidia B200 d'AMD. La zone d'emballage a atteint 120 mm x 120 mm.



Pan Changlong, directeur commercial de Pan-Semiconductor chez Xinqi Micropackaging, a souligné qu'actuellement, TSMC utilise principalement CoWoS-S. À mesure qu'il existe de plus en plus de conceptions de puces à grande surface, il y a de plus en plus d'interposeurs et la taille du masque devient plus grande. et plus lorsque des intermédiaires sont utilisés, si la couche atteint plus de quatre fois celle du plus grand réticule de TSMC (réticule 1X≈830 mm²), qui est supérieure à 3,3 fois celle de sa couche intercalaire actuelle, elle passera à CoWoS-L.


Pan Changlong, directeur commercial de Semiconductor Semiconductor

Les données montrent que la limite théorique du réticule EUV est de 858 mm² (26 mm x 33 mm), donc en épissant six masques, un SiP de 5 148 mm² sera obtenu. Un interposeur aussi grand fournit non seulement de l'espace pour plusieurs puces informatiques de grande taille, mais laisse également suffisamment d'espace pour une mémoire HBM à 12 piles, ce qui signifie une bande passante d'interface mémoire de 12 288 bits allant jusqu'à 9,8 To/seconde. Construire un SiP de 5 148 mm² est une tâche extrêmement difficile. L'accélérateur Nvidia H100 actuel, dont le packaging couvre plusieurs tailles de masque sur un interposeur, a coûté jusqu'à 30 000 $. En conséquence, des puces plus grandes et plus puissantes risquent de faire grimper encore davantage les coûts d’emballage.

En plus de CoWoS-L, certaines sociétés de conception de puces ont également commencé à étudier les systèmes au niveau de la tranche (System on Wafer, SoW). Ce type de conception utilise la tranche entière comme unité de conditionnement, ainsi que les puces liées à la logique, au stockage et au contrôle. doivent être intégrés via l'empaquetage, le câblage du RDL sera assez complexe et le nombre de couches RDL sera de plus en plus élevé.

Concernant les tendances de ces deux technologies d'emballage avancées, Pan Changlong a déclaré que l'emballage de puces sur une plus grande surface posera de nombreux défis à l'utilisation des machines de lithographie pas à pas traditionnelles.

L’un est le problème de l’épissage des masques. À mesure que la zone d'emballage augmente, un seul masque ne peut pas couvrir la totalité de la puce et plusieurs masques doivent être utilisés et épissés. Cela ajoute de la complexité au processus de fabrication et peut entraîner des erreurs d'alignement au niveau des épissures, affectant les performances et le rendement du produit final. De plus, l’augmentation de la surface d’emballage peut augmenter les déformations et les défauts au cours du processus de production, entraînant une diminution du rendement. En particulier dans la zone de couture du masque, toute petite erreur peut affecter les performances de l'ensemble de la puce. Avec l'intégration de puces et l'utilisation de tranches de grande taille, le problème de la déformation des tranches est devenu de plus en plus grave et est devenu l'un des principaux défis affectant la fiabilité des emballages avancés.

Deuxièmement, la complexité de la conception augmente et l’efficacité de la production diminue. La conception de boîtiers de grande taille nécessite une technologie de câblage et d'empilage plus complexe. Par exemple, le câblage de la couche RDL sera assez complexe et le nombre de couches augmentera, ce qui pose des défis extrêmement importants tant pour le travail de conception que pour le processus de fabrication. . En particulier, la conception d'emballages de grande taille nécessite de changer de masque dans la machine de photolithographie pour exposer les lignes sur la même couche. Ce changement fréquent de masque réduira l'efficacité de la production et allongera le cycle de production.

Le troisième concerne les limitations de l'équipement. La taille du masque des équipements de lithographie par projection pas à pas traditionnels est généralement de 26 × 33 mm², et il se peut qu'il n'y ait aucune expérience dans la gestion de problèmes tels que le gauchissement d'emballages de grande taille. La photolithographie pour les emballages de grande taille nécessite un équipement capable de gérer des tranches/supports de plus grande taille et de résoudre des problèmes tels que le gauchissement.

Pan Changlong a déclaré qu'en plus des emballages au niveau des tranches tels que CoWoS et SoW, la technologie d'emballage FoPLP a également commencé à prendre de l'ampleur. Les machines de lithographie pas à pas sont également incapables de gérer un emballage de si grande surface, et la technologie de lithographie à écriture directe le sera. le meilleur choisit.

Dans le domaine des pan-semi-conducteurs, la technologie de lithographie est principalement divisée en lithographie par masque et lithographie à écriture directe selon qu'un masque est utilisé ou non. La lithographie par masque peut être divisée en lithographie de proximité/contact et lithographie par projection.La lithographie à écriture directe, également connue sous le nom de lithographie sans masque, fait référence à une projection focalisée par faisceau de haute précision, contrôlée par ordinateur, sur la surface d'un substrat recouvert d'un matériau photosensible, sans avoir besoin d'un masque pour une exposition directe par balayage. Pendant longtemps, la technologie de lithographie par masque était le meilleur choix dans le processus de lithographie. Cependant, avec l'augmentation des coûts, à l'avenir, la technologie de lithographie à écriture directe sans masque pourrait progressivement devenir plus populaire dans l'industrie en raison de ses avantages en termes de coûts. et la disposition de l'industrie se concentrent sur. En particulier dans le domaine de l'emballage avancé, la technologie de lithographie à écriture directe devient progressivement une force clé dans la promotion de l'innovation industrielle grâce à ses avantages uniques et son vaste potentiel de marché.

Comment la lithographie à écriture directe réécrit le paysage du marché de l'emballage avancé

En tant que leader du segment des équipements nationaux de lithographie à écriture directe, Xinqi Micropackaging accélère continuellement son développement dans les domaines des cartes de support, du packaging avancé, des nouveaux écrans et du masquage, à mesure que la demande de cartes de support PCB et IC de milieu à haut de gamme augmente et que la localisation le taux augmente. Disposition dans la fabrication de modèles, les dispositifs de puissance discrets, le placage de cuivre photovoltaïque, etc. Pan Changlong a déclaré que dans le domaine de l'emballage avancé,En plus du coût et de la commodité de fonctionnement apportés par l'absence de masque, l'équipement de lithographie à écriture directe micro-monté de Xinqi présente également des avantages en termes de RDL, d'interconnexion, de correction intelligente et d'adéquation au conditionnement de puces de grande surface. en douceur du côté du client et a reçu des commandes répétées et continues de la part des principaux clients chinois en matière d'emballage avancé.

Pan Changlong a résumé plusieurs avantages majeurs de l'application de la technologie de lithographie à écriture directe aux emballages avancés. Premièrement, la production de masques prend souvent du temps et est coûteuse. La lithographie à écriture directe n’utilise pas les masques requis par la lithographie pas à pas traditionnelle.En effectuant numériquement l'exposition du motif directement sur la plaquette de silicium, le délai entre la conception du produit et sa commercialisation est considérablement réduit et les coûts de fabrication sont considérablement réduits.De plus, la technologie de lithographie à écriture directe peut s'adapter aux conceptions RDL complexes et aux structures d'emballage multicouches, ce qui peut être difficile à réaliser avec la lithographie pas à pas traditionnelle. Les clients peuvent ajuster et optimiser de manière plus flexible les conceptions pour répondre à différents besoins, notamment en matière de R&D ou d'échantillonnage. stade de développement.

Deuxièmement, la technologie de lithographie à écriture directe réduit le besoin d’échange et d’épissage de masques, simplifiant ainsi le processus de production et améliorant ainsi l’efficacité de la production. Surtout avec l'augmentation de la surface d'emballage et le développement de technologies telles que CoWoS-L et FoPLP, la technologie de lithographie à écriture directe peut relever efficacement les défis des emballages de grande taille. Il peut gérer des conceptions d’emballages de grande surface qui dépassent les tailles de masques traditionnelles, évitant ainsi les problèmes d’épissage des masques et améliorant l’efficacité de la production. Dans le même temps, les modes de multisegmentation libre et d'expansion et de contraction intelligents de la photolithographie directe présentent une excellente qualité pour traiter la déformation par gauchissement des multicouches de grande taille dans les emballages au niveau du carton.

Enfin, en réponse à la demande actuelle du marché en matière de localisation et de réduction de la dépendance externe, la Chine continentale intensifie ses efforts pour développer des emballages avancés tels que CoWoS et Chiplet afin de combler l'écart de performance malgré les limites des processus avancés. La technologie de lithographie à écriture directe fournit une solution autonome et contrôlable qui contribue à réduire les risques liés à la chaîne d'approvisionnement et à améliorer la compétitivité des industries nationales.


« Alors que les exigences en matière de puces hautes performances et de grande puissance de calcul continuent d'augmenter, la demande pour des technologies d'emballage avancées telles que CoWoS-L et FoPLP continuera de croître avec l'émergence de futurs produits tels que RDL et SOW de grande taille. La technologie de lithographie à écriture directe s'appuiera sur ses avantages en matière d'emballage de grande taille et de coût, ce qui ouvrira la voie à un vaste espace de marché », a déclaré Pan Changlong.À l'heure actuelle, l'équipement de micro-emballage Xinqi a atteint des largeurs de ligne aussi faibles que 2 µm, et les processus impliqués incluent le câblage vertical TSV, le câblage horizontal supplantant les liaisons RDL, etc., répondant aux exigences des clients d'emballage avancé avec des masques numériques flexibles et des taux de rendement élevés. Actuellement, plusieurs appareils ont été livrés aux clients, et la stabilité et la fonctionnalité des produits ont été vérifiées.

Il convient de noter qu’outre le processus de photolithographie, la lithographie à écriture directe présente également des avantages techniques significatifs dans les domaines de la découpe de tranches et de la correction intelligente.

Pan Changlong a souligné que dans le processus de fabrication des puces, le processus de découpe doit être utilisé pour tracer la plaquette. Cependant, la découpe traditionnelle au diamant, la découpe à la meule ou la découpe au laser causeront de graves dommages à la plaquette, entraînant des contraintes, des fissures et des fissures. Dégradation des performances de la puce et autres problèmes. Actuellement, dans le domaine de l'emballage avancé, les clients haut de gamme commencent à utiliser le découpage plasma par gravure profonde du silicium (DRIE) pour remplacer les méthodes de découpe traditionnelles. Cependant, DRIE nécessite un processus d'exposition, mais ce processus d'exposition n'est pas compliqué. La technologie de lithographie à écriture directe peut tracer directement des lignes de découpe précises sur des tranches de silicium ou d'autres matériaux de base. Ces motifs peuvent être de simples lignes droites, des courbes ou d'autres géométries complexes. forme et permet des bords de coupe plus lisses et plus précis, réduisant ainsi le stress et les dommages qui peuvent être introduits par les méthodes de coupe traditionnelles telles que la coupe au couteau ou la découpe au laser. De plus, étant donné que la lithographie à écriture directe utilise un faisceau numérique et un masque virtuel, elle ne nécessite pas de création ni de remplacement de masques physiques pour chaque motif de découpe différent, ce qui permet d'économiser considérablement du temps et de l'argent.

Un autre scénario typique de CoWoS est l’intégration de plusieurs HBM dans des puces IA, ce qui nécessite d’empiler plusieurs puces DRAM pour former une unité de stockage de grande capacité. La lithographie à écriture directe peut être utilisée dans ce processus pour tracer avec précision des lignes de traçage pour couper et empiler les puces.Par rapport aux méthodes de coupe traditionnelles, elle améliore non seulement la précision de coupe, mais permet également d'obtenir un empilement plus serré des copeaux, améliorant ainsi la densité et les performances de stockage. . En outre, la technologie de lithographie à écriture directe peut également garantir une grande planéité de la surface de la puce après la découpe, ce qui est crucial pour les processus ultérieurs tels que le collage hybride.

« L'application de la technologie de lithographie à écriture directe dans ces deux scénarios de découpe peut non seulement améliorer la précision et la qualité de la découpe, mais également réduire les coûts et les délais de production, et améliorer l'efficacité globale de la production, a souligné Pan Changlong : « Grâce à la lithographie à écriture directe ».

De plus, la technologie de lithographie à écriture directe est de plus en plus utilisée pour une correction intelligente.

Pan Changlong a expliqué qu'il existe actuellement trois difficultés techniques majeures dans le conditionnement de reconstruction de tranches pour un conditionnement avancé. La première est le déplacement de la puce, qui fait référence à la déviation, à l'expansion et à la contraction pendant le processus de transfert de puce. la position prédéfinie, qui nécessite une correction ; le deuxième est le gauchissement, qui est une déformation causée par une inadéquation du coefficient de dilatation thermique du matériau EMC et de la plaquette de silicium, qui peut entraîner une mauvaise exposition. Le troisième est la colle résiduelle ; ). Pour le problème de décalage des particules centrales, la technologie de lithographie à écriture directe peut garantir la précision du motif de couche RDL en modifiant le câblage ou la couche PI ou la correction du motif de correction des bosses. De plus, dans le processus de placement FoWLP, la solution de correction PI basée sur la lithographie à écriture directe peut très bien réduire l'erreur de placement de la machine de placement. Par conséquent, dans les domaines du décalage des grains, du gauchissement du substrat, de la déformation du substrat, etc., la capacité d'ajustement adaptatif de la technologie de lithographie à écriture directe lui confère les avantages d'un rendement élevé et d'une bonne cohérence.

Étant donné que les avantages de la lithographie à écriture directe par rapport à la lithographie pas à pas se reflètent principalement dans la capacité de réaliser un ajustement de motif en temps réel sans masque physique, améliorant ainsi l'efficacité et le rendement de la production, elle peut s'adapter à la correction complexe des objets multicouches et de grande taille. paquets dont vous avez besoin. Sa flexibilité et ses capacités de correction de haute précision simplifient le processus de production, réduisent les coûts et soutiennent le développement rapide d'une technologie d'emballage avancée pour répondre à la demande du marché en puces hautes performances et haute densité.

Opportunités et défis cohabitent et la chaîne écologique de la lithographie à écriture directe se remodèle

Selon les estimations de Yole et Jiwei Consulting, le marché mondial des emballages avancés passera de 37,9 milliards de dollars américains à 48,2 milliards de dollars américains de 2022 à 2026, avec un TCAC de 6,2 %. À l'avenir, la proportion de technologies d'emballage avancées dans l'ensemble du marché de l'emballage augmente progressivement. Le développement de l'emballage 3D, de l'emballage sectoriel (FOWLP/PLP), de la technologie de liaison par fil à micro-pas et de l'emballage au niveau du système (SiP) est devenu. Il est important de poursuivre la voie de la loi de Moore.

Dans le même temps, Yole prédit également que dans le domaine du conditionnement avancé des circuits intégrés, les équipements de lithographie à écriture directe au laser mûriront progressivement et occuperont une certaine part de marché au cours des trois prochaines années, avec de bonnes perspectives d'application sur le marché. Il est vrai que la technologie de lithographie à écriture directe a commencé à émerger dans le domaine de l’emballage avancé, mais elle doit encore surmonter une série de défis techniques et commerciaux avant de pouvoir être utilisée dans une production de masse à grande échelle.

Pan Changlong a souligné que tout d'abord,Avec le développement d’une technologie d’emballage avancée, les exigences en matière de précision de la photolithographie sont de plus en plus élevées. . La technologie de lithographie à écriture directe doit encore améliorer sa résolution pour répondre aux exigences d'emballage en matière de largeurs de lignes plus petites et de densité plus élevée.Deuxièmement, la lithographie par écriture directe n'est pas encore entièrement comparable à la lithographie pas à pas en termes de rendement et de taux de production (UPH), et le goulot d'étranglement en matière de rendement réside principalement dans le fait qu'il n'existe toujours pas de résine photosensible spécifiquement développée pour la lithographie par écriture directe sur le marché et adaptée à la lumière. sources.Les matériaux traditionnels de couche photorésistante et diélectrique sont conçus pour les machines de lithographie pas à pas, et la technologie de lithographie à écriture directe doit être mieux adaptée à ces matériaux pour garantir la qualité et l'efficacité de la lithographie.Enfin, de nombreux clients du secteur de l'emballage ne comprennent toujours pas la technologie de lithographie à écriture directe, et une meilleure éducation du marché et une vulgarisation technologique sont nécessaires pour améliorer la sensibilisation et l'acceptation des clients.Et comment mettre en valeur les avantages uniques de la micro-décoration Xinqi dans la concurrence sur le marché et gagner la confiance des clients est également un grand défi.

Le développement de l'industrie nationale des semi-conducteurs dans le domaine des processus avancés étant limité, la demande d'emballages avancés augmente de jour en jour. À l'heure actuelle, la recherche et le développement en Chine continentale dans les domaines de l'emballage 2,5D et 3D tels que CoWoS s'accélèrent. Xinqi Micropackaging a formulé et adopté une série de plans et de mesures pratiques et efficaces pour promouvoir la localisation d'emballages avancés.

« La R&D localisée est l'une des stratégies fondamentales de Xinqi Micro-Device. La société a mis en place une solide équipe de R&D locale qui se concentre sur l'innovation technologique et le développement de produits pour garantir que la technologie puisse répondre aux besoins des clients nationaux en temps opportun. Grâce à une recherche et un développement localisés, Xinqi Micro Packaging peut s'adapter rapidement aux changements du marché et promouvoir le progrès technologique. " Pan Changlong a déclaré : " En termes d'amélioration du rendement de la lithographie à écriture directe et de l'efficacité de la production, Xinqi Micropackaging a également établi une coopération étroite avec les chaînes industrielles nationales en amont et en aval. Par exemple, sur la résine photosensible de support, Xinqi Micro Devices travaille en étroite collaboration avec les fabricants japonais et continentaux de photorésists i-line et KrF pour effectuer une vérification de la production, un ajustement de la formule et d'autres travaux visant à améliorer la faisabilité de la production de masse. Dans le même temps, Xinqi Micropackaging a également établi des relations de coopération étroites avec des usines d'emballage nationales, des sociétés de conception et des usines de fabrication de plaquettes pour comprendre les besoins des clients et les commentaires d'utilisation et leur fournir des solutions personnalisées. "

Il est important de mentionner que,Xinqi Micro-assembly s'engage à augmenter la proportion de localisation des pièces. À l'heure actuelle, plus de 90 % des pièces ont été localisées.Cela réduit non seulement la dépendance à l'égard des pièces et composants importés et améliore la stabilité de la chaîne d'approvisionnement, mais réduit également les coûts de production et améliore la compétitivité des produits sur le marché.

À mesure que la technologie continue de mûrir et que le marché le reconnaît progressivement, l'ensemble de la chaîne écologique sera remodelé, des fournisseurs de matériaux aux fabricants d'équipements en passant par les entreprises d'emballage final, commenceront à s'adapter activement à ce changement, en explorant de nouvelles solutions. produits, nouveaux procédés et nouvelles solutions compatibles avec la technologie de lithographie à écriture directe. Cette coopération intersectorielle et intersectorielle accélérera encore l’innovation et l’application de la technologie de lithographie à écriture directe.

On pense que la lithographie à écriture directe jouera non seulement un rôle de plus en plus important dans le domaine de l'emballage avancé, mais deviendra également un moteur important dans la refonte de la structure de la chaîne industrielle nationale des semi-conducteurs et l'amélioration de la compétitivité industrielle.