소식

고급 패키징 문제에 대처하기 위해 Xinqi 마이크로 패키징 직접 기록 리소그래피 기술은 지역의 혁신적인 혁신을 돕습니다.

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

인공 지능(AI) 및 고성능 컴퓨팅(HPC)과 같은 애플리케이션으로 인해 고전력 컴퓨팅 칩에 대한 수요가 급증하고 있습니다. 무어의 법칙이 한계에 도달함에 따라 고급 패키징이 점차 칩 성능 향상의 핵심이 되고 있습니다. 현재 2.5D, 3D-IC, 이종 집적화, 칩렛 등 많은 고급 패키징 기술은 칩 설계자가 더 작은 크기와 더 낮은 전력 소비로 더 많은 기능을 칩에 제공하고 성능을 향상시키는 데 도움이 됩니다. 그러나 이러한 기술 발전은 전례 없는 과제를 가져오며, 이로 인해 기존 제조 공정, 장비 및 재료에 대한 수요가 높아집니다.

점점 더 발전된 패키징에는 재배포(RDL), 범프 생산(범핑) 및 칩 패키징과 테스트("백엔드") 사이의 "중간 도로"로 알려진 프로세스가 포함됩니다. 실리콘 비아(TSV) 및 기타 공정 기술에는 포토리소그래피, 현상, 에칭, 스트리핑 및 웨이퍼 제조와 유사한 기타 공정 단계가 포함됩니다. 그 중에서 포토리소그래피 기술은 첨단 패키징 분야에서 플립칩 구조 패키징 범핑, RDL, 2.5D/3D 패키징 TSV 등의 생산에 널리 사용되어 왔습니다.

오늘날 보드 레벨 패키징 및 고급 IC 기판(기판) 제조 분야에서 직접 기록 리소그래피는 고급 디스플레이, 고급 패키징 및 3세대 반도체 분야에서 기존 리소그래피를 완전히 대체했습니다. -write 리소그래피도 등장하기 시작했습니다. 고급 패키징의 흐름 속에서 국내 직접 기록 리소그래피 기술의 선두주자인 Xinqi Micropackaging은 탁월한 성능과 혁신적인 기술 솔루션으로 업계에 획기적인 변화를 가져오고 있습니다.

고급 패키징이 다가오고 있으며 직접 기록 리소그래피가 등장하고 있습니다.

지난해부터 많은 관심을 받고 있는 TSMC CoWoS를 예로 들면 CoW와 OS를 결합한 2.5D 패키징 기술이다. 먼저 CoW(Chip on Wafer) 패키징 공정을 통해 칩을 실리콘 웨이퍼에 연결한 뒤, CoW 칩을 기판(Substrate)에 연결해 CoWoS로 집적시킨다. 이 기술의 핵심은 동일한 실리콘 인터포저에 서로 다른 칩을 쌓아 여러 칩을 상호 연결하는 것입니다. 실리콘 인터포저에서 TSMC는 마이크로 범프(μBmps), 실리콘 관통 비아(TSV) 및 기타 기술을 사용하여 다이 간 연결을 위한 기존 와이어 본딩을 대체하여 상호 연결 밀도와 데이터 전송 대역폭을 크게 향상시킵니다. TSMC는 사용되는 다양한 인터포저에 따라 CoWoS 패키징 기술을 CoWoS-S(실리콘 인터포저), CoWoS-R(RDL 인터포저), CoWoS-L(로컬 실리콘 인터커넥트 및 RDL 인터포저)의 세 가지 유형으로 나눕니다.

예를 들어 CoWoS는 Nvidia, AMD, Amazon, Google과 같은 기업의 고성능 AI 칩을 생산하는 데 사용됩니다. AI 칩의 트랜지스터 수가 계속 증가하고 데이터 센터 및 클라우드 컴퓨팅에 사용되기 때문입니다. 따라서 크기 요구 사항은 높지 않습니다. 따라서 미래의 AI 칩은 점점 더 커질 가능성이 높습니다. 현재 TSMC는 CoWoS 패키징 기술을 사용해 AMD의 Instinct MI300X와 Nvidia B200보다 더 큰 AI 칩을 개발하고 있습니다. 패키징 면적은 120mmx120mm에 달합니다.



Xinqi Micropackaging의 Pan-Semiconductor 영업 이사인 Pan Changlong은 현재 TSMC가 주로 CoWoS-S를 사용하고 있다고 지적했습니다. 대면적 칩 설계가 많아지면서 인터포저도 늘어나고 마스크 크기도 커지고 있습니다. 중개자를 사용하는 경우 레이어가 현재 인터포저 레이어의 3.3배보다 높은 TSMC의 가장 큰 레티클(1X 레티클 ≒830mm²)의 4배 이상에 도달하면 CoWoS-L로 이동합니다.


Pan Changlong, 반도체 영업 이사

데이터에 따르면 이론적 EUV 레티클 한계는 858mm²(26mm x 33mm)이므로 6개의 마스크를 접합하면 5148mm²의 SiP가 달성됩니다. 이러한 대형 인터포저는 여러 개의 대형 컴퓨팅 칩렛을 위한 공간을 제공할 뿐만 아니라 12스택 HBM 메모리를 위한 충분한 공간도 남겨둡니다. 이는 최대 9.8TB/초의 12288비트 메모리 인터페이스 대역폭을 의미합니다. 5148mm² SiP를 구축하는 것은 매우 어려운 작업입니다. 패키징은 인터포저 전체에 걸쳐 여러 마스크 크기에 걸쳐 있으며 비용은 최대 30,000달러입니다. 결과적으로 더 크고 더 강력한 칩이 패키징 비용을 더욱 증가시킬 가능성이 높습니다.

CoWoS-L 외에도 일부 칩 설계 회사에서는 웨이퍼 레벨 시스템(System on Wafer, SoW)을 연구하기 시작했습니다. 이러한 유형의 설계는 웨이퍼 전체를 패키징 단위로 사용하고 로직, 저장 및 제어 관련 칩을 사용합니다. 패키징을 통해 통합해야 하면 RDL 배선이 상당히 복잡해지고 RDL 레이어 수가 점점 더 많아질 것입니다.

이 두 가지 고급 패키징 기술의 추세와 관련하여 Pan Changlong은 더 넓은 면적의 칩 패키징이 기존 스테퍼 리소그래피 기계 사용에 많은 어려움을 가져올 것이라고 말했습니다.

하나는 마스크 접합 문제입니다. 패키징 면적이 늘어나면 단일 마스크가 칩 전체를 덮을 수 없고, 여러 개의 마스크를 사용해 접합해야 한다. 이로 인해 제조 공정이 복잡해지고 접합부에서 정렬 오류가 발생하여 최종 제품의 성능과 수율에 영향을 줄 수 있습니다. 또한, 포장면적의 증가로 인해 생산과정에서 변형 및 불량이 증가하여 수율이 저하될 수 있습니다. 특히 마스크 스티칭 영역에서는 작은 오류라도 전체 칩 성능에 영향을 미칠 수 있습니다. 칩의 통합과 대형 웨이퍼의 사용으로 인해 웨이퍼 변형 문제는 점점 더 심각해지고 있으며 첨단 패키징의 신뢰성에 영향을 미치는 주요 과제 중 하나가 되었습니다.

둘째, 설계 복잡성이 증가하고 생산 효율성이 감소합니다. 대형 패키지 설계에는 더욱 복잡한 배선 및 적층 기술이 필요합니다. 예를 들어 RDL 레이어의 배선은 상당히 복잡해지고 레이어 수가 늘어나 설계 작업과 제조 프로세스 모두에 매우 높은 어려움이 따릅니다. . 특히, 대형 포장 디자인에서는 동일한 레이어의 라인을 노출시키기 위해 포토리소그래피 기계에서 마스크를 전환해야 합니다. 이러한 빈번한 마스크 전환은 생산 효율성을 저하시키고 생산 주기를 연장시킵니다.

세 번째는 장비의 한계입니다. 기존 스테퍼 프로젝션 리소그래피 장비의 마스크 크기는 대부분 26×33mm²이며, 대형 패키지의 뒤틀림 등의 문제를 처리한 경험이 없을 수 있습니다. 대형 패키지용 포토리소그래피에는 대형 웨이퍼/캐리어를 처리하고 뒤틀림과 같은 문제를 처리할 수 있는 장비가 필요합니다.

Pan Changlong은 CoWoS 및 SoW와 같은 웨이퍼 레벨 패키징 외에도 FoPLP 패키징 기술도 탄력을 받기 시작했다고 말했습니다. 스테퍼 리소그래피 기계도 이러한 대면적 패키징에 대처할 수 없으며 직접 기록 리소그래피 기술은 최선을 선택합니다.

범반도체 분야에서는 리소그래피 기술은 마스크 사용 여부에 따라 크게 마스크 리소그래피와 직접 기록 리소그래피로 구분된다. 마스크 리소그래피는 근접/접촉 리소그래피와 투영 리소그래피로 더 나눌 수 있습니다.마스크리스 리소그래피라고도 알려진 직접 기록 리소그래피는 직접 스캐닝 노출을 위한 마스크가 필요 없이 감광성 물질로 코팅된 기판 표면에 컴퓨터로 제어되는 고정밀 빔 초점 투영을 의미합니다. 과거에는 오랫동안 마스크 리소그래피 기술이 리소그래피 공정 경로에서 최선의 선택이었습니다. 그러나 비용이 증가함에 따라 미래에는 비용 이점으로 인해 마스크 없는 직접 기록 리소그래피 기술이 점차 업계에서 대중화될 것입니다. 및 산업 레이아웃에 중점을 둡니다. 특히 고급 패키징 분야에서 직접 기록 리소그래피 기술은 고유한 장점과 광범위한 시장 잠재력을 바탕으로 점차 산업 혁신을 촉진하는 핵심 원동력이 되고 있습니다.

직접 기록 리소그래피가 고급 패키징 시장 환경을 어떻게 다시 작성하는지

국내 직접 기록 리소그래피 장비 부문의 선두주자인 Xinqi Micropackaging은 중급 및 고급형 PCB 및 IC 캐리어 보드에 대한 수요가 증가하고 국산화됨에 따라 캐리어 보드, 고급 패키징, 새로운 디스플레이 및 마스킹 분야의 개발을 지속적으로 가속화하고 있습니다. 템플릿 제작, 전력 개별 장치, 광전지 구리 도금 등의 레이아웃 Pan Changlong은 고급 포장 분야에서 다음과 같이 말했습니다.Xinqi의 마이크로 마운트 직접 기록 리소그래피 장비는 마스크가 없기 때문에 비용과 운영 편의성이 향상되는 것 외에도 RDL, 상호 연결, 지능형 보정 및 대면적 칩 패키징에 대한 적합성 측면에서도 장점이 있습니다. 클라이언트 측에서 원활하게 이루어졌으며 중국 최고의 고급 포장 고객으로부터 지속적인 반복 주문을 받았습니다.

Pan Changlong은 직접 기록 리소그래피 기술을 고급 패키징에 적용함으로써 얻을 수 있는 몇 가지 주요 이점을 요약했습니다. 첫째, 마스크 생산에는 시간과 비용이 많이 드는 경우가 많습니다. 직접 기록 리소그래피는 기존 스테퍼 리소그래피에 필요한 마스크를 사용하지 않습니다.실리콘 웨이퍼에 직접 패턴 노광을 디지털 방식으로 수행함으로써 제품 설계부터 출시까지의 시간이 크게 단축되고 제조 비용이 크게 절감됩니다.또한 직접 기록 리소그래피 기술은 기존 스테퍼 리소그래피에서는 달성하기 어려울 수 있는 복잡한 RDL 설계 및 다층 패키징 구조에 적용할 수 있습니다. 고객은 특히 R&D 또는 샘플의 다양한 요구에 맞게 설계를 보다 유연하게 조정하고 최적화할 수 있습니다. 개발 단계.

둘째, 직접 기록 리소그래피 기술은 마스크 교환 및 접합의 필요성을 줄여 생산 공정을 단순화하여 생산 효율성을 향상시킵니다. 특히 패키징 면적이 증가하고 CoWoS-L 및 FoPLP와 같은 기술이 개발됨에 따라 직접 기록 리소그래피 기술은 대형 패키징의 과제를 효과적으로 해결할 수 있습니다. 기존 마스크 크기를 초과하는 대면적 포장 디자인을 처리할 수 있어 마스크 접합 문제를 방지하고 생산 효율성을 높일 수 있습니다. 동시에 직접 포토리소그래피의 자유로운 다중 분할과 지능형 확장 및 수축 모드는 보드 수준 패키징에서 대형 다층의 뒤틀림 변형을 처리하는 데 탁월한 품질을 제공합니다.

마지막으로, 중국 본토에서는 국산화와 대외 의존도 감소에 대한 시장 요구에 부응하여 첨단 공정의 한계에도 불구하고 성능 격차를 메우기 위해 CoWoS, Chiplet 등 첨단 패키징 개발에 노력을 기울이고 있습니다. 직접 기록 리소그래피 기술은 공급망 위험을 줄이고 국내 산업의 경쟁력을 향상시키는 데 도움이 되는 자율적이고 제어 가능한 솔루션을 제공합니다.


“고성능, 대형 컴퓨팅 파워 칩에 대한 요구사항이 지속적으로 증가함에 따라, 대형 RDL, SOW 등 미래 제품의 등장으로 CoWoS-L, FoPLP 등 첨단 패키징 기술에 대한 수요도 계속 늘어날 것입니다. 직접 기록 리소그래피 기술은 이에 의존할 것입니다. 대형 패키징 및 비용의 이점은 광범위한 시장 공간을 안내할 것입니다."라고 Pan Changlong은 말했습니다.현재 Xinqi 마이크로 패키징 장비는 2um의 낮은 선폭을 달성했으며 관련 프로세스에는 수직 배선 TSV, 수평 배선 범핑 RDL 링크 등이 포함됩니다., 유연한 디지털 마스크와 높은 수율로 고급 패키징 고객의 요구 사항을 충족합니다. 현재 여러 장치가 고객에게 배송되었으며 제품의 안정성과 기능성이 검증되었습니다.

포토리소그래피 공정 외에도 직접 기록 리소그래피는 웨이퍼 절단 및 지능형 보정 분야에서도 상당한 기술적 이점을 보여준다는 점은 주목할 가치가 있습니다.

Pan Changlong은 칩 제조 공정에서 웨이퍼를 스크라이브하기 위해 절단 공정을 사용해야 한다고 지적했습니다. 그러나 전통적인 다이아몬드 절단, 연삭 휠 절단 또는 레이저 절단은 웨이퍼에 심각한 손상을 초래하여 웨이퍼 응력, 균열, 칩 성능 저하 및 기타 문제. 현재 고급 패키징 분야에서 고급 고객은 DRIE(Deep Silicon Etching) 플라즈마 절단을 사용하여 기존 절단 방법을 대체하기 시작했습니다. 그러나 DRIE에는 노광 공정이 필요하지만 이 노광 공정은 복잡하지 않습니다. 직접 기록 리소그래피 기술은 실리콘 웨이퍼나 기타 기본 재료에 정밀한 절단 선을 직접 그릴 수 있으며 이러한 패턴은 단순한 직선, 곡선 또는 기타 복잡한 형상이 될 수 있습니다. 보다 매끄럽고 정밀한 절단 가장자리를 가능하게 하여 나이프 절단이나 레이저 절단과 같은 전통적인 절단 방법으로 발생할 수 있는 응력과 손상을 줄입니다. 또한 직접 기록 리소그래피는 디지털 빔과 가상 마스크를 사용하기 때문에 절단 패턴마다 물리적인 마스크를 만들고 교체할 필요가 없어 비용과 시간이 크게 절약된다.

또 다른 일반적인 CoWoS 시나리오는 AI 칩에 여러 HBM을 통합하는 것인데, 이는 대용량 저장 장치를 형성하기 위해 여러 DRAM 칩을 쌓아야 합니다. 이 프로세스에서는 직접 기록 리소그래피를 사용하여 칩 절단 및 적층을 위한 스크라이브 라인을 정확하게 그릴 수 있습니다.기존 절단 방법에 비해 절단 정확도가 향상될 뿐만 아니라 칩 스택이 더욱 촘촘해 저장 밀도와 성능이 향상됩니다. . 또한 직접 기록 리소그래피 기술은 절단 후 칩 표면의 높은 평탄도를 보장할 수 있으며 이는 하이브리드 본딩과 같은 후속 공정에 중요합니다.

"이 두 가지 절단 시나리오에 직접 기록 리소그래피 기술을 적용하면 절단의 정확성과 품질을 향상시킬 수 있을 뿐만 아니라 생산 비용과 시간을 줄이고 전반적인 생산 효율성을 향상시킬 수 있습니다."라고 Pan Changlong은 강조했습니다.

또한 지능형 보정을 위해 직접 기록 리소그래피 기술이 점점 더 많이 사용되고 있습니다.

Pan Changlong은 현재 고급 패키징을 위한 웨이퍼 재구성 패키징에는 세 가지 주요 기술적 어려움이 있다고 설명했습니다. 첫 번째는 칩 이송 공정 중 편차, 팽창 및 수축을 의미하는 다이 이동으로 인해 실제 코어 위치와 차이가 발생합니다. 수정이 필요한 사전 설정 위치, 두 번째는 EMC 재료와 실리콘 웨이퍼의 열팽창 계수 불일치로 인한 변형으로, 이는 노출 불량으로 이어질 수 있습니다. ). 코어 입자의 오프셋 문제에 대해 직접 기록 리소그래피 기술은 배선 또는 PI 레이어를 변경하거나 범프 보정 패턴 수정을 통해 RDL 레이어 패턴의 정확성을 보장할 수 있습니다. 또한 FoWLP 배치 과정에서 직접 라이팅 리소그래피 기반의 PI 보정 솔루션은 배치 기계의 배치 오류를 매우 효과적으로 줄일 수 있습니다. 따라서 입자 오프셋, 기판 뒤틀림, 기판 변형 등의 분야에서 직접 기록 리소그래피 기술의 적응형 조정 기능은 높은 수율과 우수한 일관성이라는 이점을 제공합니다.

스테퍼 리소그래피에 비해 직접 기록 리소그래피의 장점은 주로 물리적 마스크 없이 실시간 패턴 조정이 가능하여 생산 효율성과 수율이 향상된다는 점에 반영되므로 다층 및 대형의 복잡한 보정에 적응할 수 있습니다. 패키지가 필요합니다. 유연성과 고정밀 수정 기능은 생산 공정을 단순화하고, 비용을 절감하며, 고성능, 고밀도 칩에 대한 시장의 요구를 충족시키기 위해 첨단 패키징 기술의 신속한 개발을 지원합니다.

기회와 도전이 공존하며 직필 석판화 생태계 체인이 재편되고 있습니다.

Yole 및 Jiwei Consulting의 추정에 따르면 글로벌 고급 패키징 시장은 2022년부터 2026년까지 379억 달러에서 482억 달러로 연평균 성장률(CAGR) 6.2%로 성장할 것입니다. 앞으로 전체 패키징 시장에서 첨단 패키징 기술이 차지하는 비중은 점차 높아지고 있으며, 3D 패키징, 섹터 패키징(FOWLP/PLP), 마이크로피치 와이어 본딩 기술, 시스템 레벨 패키징(SiP) 등의 발전이 이루어지고 있습니다. 무어의 법칙을 계속 유지하는 것이 중요합니다.

동시에 Yole은 고급 IC 패키징 분야에서 레이저 직접 기록 리소그래피 장비가 점차 성숙해 향후 3년 내에 특정 시장 점유율을 차지할 것이며 시장 적용 전망이 양호할 것이라고 예측했습니다. 직접 기록 리소그래피 기술이 고급 패키징 분야에서 등장하기 시작한 것은 사실이지만, 대규모 대량 생산에 사용되기 위해서는 여전히 일련의 기술 및 시장 과제를 극복해야 합니다.

Pan Changlong은 먼저 다음과 같이 지적했습니다.고급 패키징 기술이 발전함에 따라 포토리소그래피 정확도에 대한 요구 사항이 점점 더 높아지고 있습니다. . 직접 기록 리소그래피 기술은 더 작은 선폭과 더 높은 밀도에 대한 패키징 요구 사항을 충족하기 위해 해상도를 더욱 향상시켜야 합니다.둘째, 직접 기록 리소그래피는 아직 수율 및 생산 속도(UPH) 측면에서 스테퍼 리소그래피와 완전히 비교할 수 없으며, 수율의 병목 현상은 주로 직접 기록 리소그래피용으로 특별히 개발된 포토레지스트가 아직 시장에 없고 빛을 일치시키지 않는다는 것입니다. 소스.기존 포토레지스트 및 유전층 재료는 스테퍼 리소그래피 기계용으로 설계되었으며 직접 기록 리소그래피 기술은 리소그래피 품질과 효율성을 보장하기 위해 이러한 재료와 더 잘 조화되어야 합니다.마지막으로, 많은 패키징 고객은 여전히 ​​직접 기록 리소그래피 기술에 대한 이해가 부족하며, 고객 인식과 수용도를 높이기 위해서는 더 많은 시장 교육과 기술 대중화가 필요합니다.그리고 시장 경쟁에서 Xinqi 마이크로 장식의 고유한 장점을 어떻게 강조하고 고객의 신뢰를 얻는지도 큰 과제입니다.

첨단 공정 분야의 국내 반도체 산업 발전이 제한됨에 따라 첨단 패키징에 대한 수요가 날로 증가하고 있으며, 현재 중국 본토에서는 CoWoS 등 2.5D 및 3D 패키징 분야의 연구 개발이 가속화되고 있습니다. Xinqi Micropackaging은 고급 포장재의 현지화를 촉진하기 위해 일련의 실용적이고 효과적인 계획과 조치를 공식화하고 채택했습니다.

"현지화된 R&D는 Xinqi Micro-Device의 핵심 전략 중 하나입니다. Xinqi Micro-Device는 해당 기술이 국내 고객의 요구에 적시에 대응할 수 있도록 기술 혁신과 제품 개발에 중점을 두는 강력한 현지 R&D 팀을 구축했습니다. 현지화된 연구 및 개발을 통해 Xinqi Micro Packaging은 시장 변화에 신속하게 적응하고 기술 발전을 촉진할 수 있습니다. Pan Changlong은 "직접 기록 리소그래피 수율과 생산 효율성을 향상시키는 측면에서 Xinqi Micropackaging은 국내 업스트림 및 다운스트림 산업 체인과 긴밀한 협력 관계를 구축했습니다. 예를 들어, 지원 포토레지스트와 관련하여 Xinqi Micro Devices는 일본 및 본토의 i-line 및 KrF 포토레지스트 제조업체와 긴밀히 협력하여 대량 생산 가능성을 높이기 위한 생산 검증, 포뮬러 조정 및 기타 작업을 수행하고 있습니다. 동시에 Xinqi Micropackaging은 고객 요구와 사용 피드백을 이해하고 맞춤형 솔루션을 제공하기 위해 국내 포장 공장, 디자인 회사 및 웨이퍼 제조 공장과 긴밀한 협력 관계를 구축했습니다. "

언급할 가치가 있는 것은,Xinqi Micro-assemblies는 부품 국산화 비율을 높이기 위해 노력하고 있으며 현재 90% 이상의 부품이 국산화되었습니다.이는 수입 부품에 대한 의존도를 낮추고 공급망의 안정성을 높일 뿐만 아니라, 생산 비용을 절감하고 제품의 시장 경쟁력을 향상시킵니다.

기술이 계속 성숙해지고 시장이 이를 점차 인식함에 따라 전체 생태 사슬이 재편될 것입니다. 재료 공급업체부터 장비 제조업체, 최종 포장 회사에 이르기까지 생태 사슬의 모든 연결은 이러한 변화에 적극적으로 적응하기 시작하여 새로운 것을 모색하고 있습니다. 직접 기록 리소그래피 기술과 호환되는 제품, 새로운 프로세스 및 새로운 솔루션. 이러한 산업 간, 분야 간 협력은 직접 기록 리소그래피 기술의 혁신과 적용을 더욱 가속화할 것입니다.

직접 기록 리소그래피는 고급 패키징 분야에서 점점 더 중요한 역할을 담당할 뿐만 아니라 국내 반도체 산업 체인의 구조를 재편하고 산업 경쟁력을 향상시키는 중요한 동인이 될 것으로 믿어집니다.