berita

Untuk mengatasi tantangan pengemasan tingkat lanjut, teknologi litografi penulisan langsung kemasan mikro Xinqi membantu terobosan inovatif lokal

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Aplikasi seperti kecerdasan buatan (AI) dan komputasi kinerja tinggi (HPC) telah mendorong lonjakan permintaan akan chip komputasi berdaya tinggi. Ketika Hukum Moore mendekati batasnya, pengemasan yang canggih secara bertahap menjadi kunci untuk meningkatkan kinerja chip. Saat ini, banyak teknologi pengemasan canggih seperti 2.5D, 3D-IC, integrasi heterogen, dan chiplet membantu perancang chip menyediakan lebih banyak fungsi dalam chip dengan ukuran lebih kecil dan konsumsi daya lebih rendah, serta mencapai lompatan dalam kinerja. Namun, kemajuan teknologi ini juga membawa tantangan yang belum pernah terjadi sebelumnya, yang memberikan tuntutan lebih tinggi pada proses manufaktur, peralatan, dan material yang ada.

Pengemasan yang semakin maju melibatkan proses yang disebut "jalan tengah" antara pembuatan wafer ("front-end") dan pengemasan dan pengujian chip ("back-end"), termasuk redistribusi (RDL), produksi benjolan (Bumping) dan melalui silikon via (TSV) dan teknologi proses lainnya melibatkan fotolitografi, pengembangan, etsa, pengupasan, dan langkah-langkah proses lainnya yang serupa dengan pembuatan wafer. Diantaranya, teknologi fotolitografi memainkan peran penting. Peralatan fotolitografi telah banyak digunakan dalam produksi kemasan struktur flip-chip bumping, RDL, TSV kemasan 2.5D/3D, dll.

Saat ini, di bidang pengemasan tingkat papan dan pembuatan substrat IC (Substrat) kelas atas, litografi penulisan langsung telah sepenuhnya menggantikan litografi tradisional; di bidang tampilan kelas atas, pengemasan canggih, dan semikonduktor generasi ketiga, langsung -Litografi tulis juga sudah mulai bermunculan. Di bawah gelombang pengemasan yang canggih, Xinqi Micropackaging, pemimpin domestik dalam teknologi litografi penulisan langsung, membawa perubahan terobosan pada industri dengan kinerja luar biasa dan solusi teknis inovatif.

Pengemasan tingkat lanjut akan segera hadir, dan litografi penulisan langsung pun bermunculan

Ambil contoh TSMC CoWoS, yang telah menarik banyak perhatian sejak tahun lalu, ini adalah teknologi pengemasan 2.5D yang merupakan kombinasi dari Kontrak Karya dan OS. Pertama, chip dihubungkan dengan wafer silikon melalui proses pengemasan Chip on Wafer (CoW), kemudian chip Kontrak Karya dihubungkan dengan substrat (Substrat) untuk diintegrasikan ke dalam CoWoS. Inti dari teknologi ini adalah menumpuk chip yang berbeda pada interposer silikon yang sama untuk menghubungkan beberapa chip. Dalam interposer silikon, TSMC menggunakan micro-bumps (μBmps), through-silicon vias (TSV) dan teknologi lainnya untuk menggantikan ikatan kawat tradisional untuk koneksi antar-die, sehingga sangat meningkatkan kepadatan interkoneksi dan bandwidth transmisi data. Menurut berbagai interposer yang digunakan, TSMC membagi teknologi pengemasan CoWoS menjadi tiga jenis: CoWoS-S (Silicon Interposer), CoWoS-R (RDL Interposer) dan CoWoS-L (Local Silicon Interconnect dan RDL Interposer).

Misalnya, CoWoS digunakan untuk memproduksi chip AI berkinerja tinggi untuk perusahaan seperti Nvidia, AMD, Amazon, dan Google. Karena jumlah transistor dalam chip AI terus meningkat, dan karena digunakan di pusat data dan komputasi awan, persyaratan ukurannya tidak tinggi. Oleh karena itu, chip AI di masa depan kemungkinan akan menjadi semakin besar. Saat ini TSMC menggunakan teknologi pengemasan CoWoS untuk mengembangkan chip AI yang lebih besar dari AMD Instinct MI300X dan Nvidia B200. Area pengemasannya sudah mencapai 120mmx120mm.



Pan Changlong, Direktur Penjualan Pan-Semiconductor di Xinqi Micropackaging, menunjukkan bahwa saat ini TSMC terutama menggunakan CoWoS-S. Karena semakin banyak desain chip dengan area yang luas, semakin banyak interposer, dan ukuran topeng semakin besar dan lebih besar Ketika perantara digunakan, Jika lapisan mencapai lebih dari empat kali lipat reticle terbesar TSMC (1X reticle≈830mm²) dan 3,3 kali lebih tinggi dari lapisan interposer saat ini, maka lapisan tersebut akan berpindah ke CoWoS-L.


Pan Changlong, Direktur Penjualan Semikonduktor Pengemasan Mikro Pan-Semikonduktor

Data menunjukkan bahwa batas reticle EUV teoritis adalah 858mm² (26 mm x 33 mm), sehingga dengan menyambung enam masker, SiP sebesar 5148 mm² akan tercapai. Interposer sebesar itu tidak hanya menyediakan ruang untuk beberapa chiplet komputasi besar, namun juga memberikan ruang yang cukup untuk memori HBM 12 tumpukan, yang berarti bandwidth antarmuka memori 12288-bit hingga 9,8 TB/detik. Membangun SiP 5.148 mm² adalah tugas yang sangat sulit. Akselerator Nvidia H100 saat ini, yang kemasannya mencakup berbagai ukuran masker di interposer, menghabiskan biaya hingga $30.000. Akibatnya, chip yang lebih besar dan lebih bertenaga kemungkinan besar akan semakin menaikkan biaya pengemasan.

Selain CoWoS-L, beberapa perusahaan desain chip juga mulai mempelajari sistem tingkat wafer (System on Wafer, SoW). Jenis desain ini menggunakan seluruh wafer sebagai unit pengemasan, dan chip terkait logika, penyimpanan, dan kontrol Perlu diintegrasikan melalui pengemasan, pengkabelan RDL akan cukup rumit, dan jumlah lapisan RDL akan semakin banyak.

Mengenai tren kedua teknologi pengemasan canggih ini, Pan Changlong mengatakan bahwa pengemasan chip dengan area yang lebih luas akan membawa banyak tantangan dalam penggunaan mesin litografi stepper tradisional.

Salah satunya adalah masalah penyambungan masker. Seiring bertambahnya area pengemasan, satu masker tidak dapat menutupi seluruh chip, dan beberapa masker perlu digunakan dan disambung. Hal ini menambah kompleksitas pada proses manufaktur dan dapat menyebabkan kesalahan penyelarasan pada sambungan, sehingga mempengaruhi kinerja dan hasil produk akhir. Selain itu, peningkatan area pengemasan dapat meningkatkan kelengkungan dan cacat selama proses produksi, sehingga mengakibatkan penurunan hasil. Khususnya di area jahitan topeng, kesalahan kecil apa pun dapat mempengaruhi kinerja keseluruhan chip. Dengan integrasi chip dan penggunaan wafer berukuran besar, masalah wafer warpage menjadi semakin serius, dan telah menjadi salah satu tantangan utama yang mempengaruhi keandalan kemasan canggih.

Kedua, kompleksitas desain meningkat dan efisiensi produksi menurun. Desain paket berukuran besar memerlukan teknologi pengkabelan dan penumpukan yang lebih kompleks, misalnya pengkabelan lapisan RDL akan cukup rumit, dan jumlah lapisan akan bertambah, yang menimbulkan tantangan yang sangat tinggi baik pada pekerjaan desain maupun proses manufaktur. . Khususnya, desain kemasan berukuran besar memerlukan pergantian masker pada mesin fotolitografi untuk mengekspos garis pada lapisan yang sama. Pergantian masker yang sering ini akan mengurangi efisiensi produksi dan memperpanjang siklus produksi.

Yang ketiga adalah keterbatasan peralatan. Ukuran topeng peralatan litografi proyeksi stepper tradisional sebagian besar berukuran 26×33mm², dan mungkin tidak ada pengalaman dalam menangani masalah seperti lengkungan paket berukuran besar. Fotolitografi untuk paket berukuran besar memerlukan peralatan dengan kemampuan menangani wafer/pembawa berukuran lebih besar dan menangani masalah seperti lengkungan.

Pan Changlong mengatakan bahwa selain pengemasan tingkat wafer seperti CoWoS dan SoW, teknologi pengemasan FoPLP juga mulai mendapatkan momentum. Mesin litografi stepper juga tidak mampu mengatasi pengemasan dengan area yang luas, dan teknologi litografi penulisan langsung akan mampu mengatasi hal tersebut yang terbaik.

Di bidang pan-semikonduktor, teknologi litografi terutama dibagi menjadi litografi topeng dan litografi tulis langsung sesuai dengan penggunaan topeng. Litografi topeng dapat dibagi lagi menjadi litografi kedekatan/kontak dan litografi proyeksi.Litografi tulis langsung, juga dikenal sebagai litografi tanpa topeng, mengacu pada proyeksi terfokus sinar presisi tinggi yang dikontrol komputer ke permukaan substrat yang dilapisi dengan bahan fotosensitif, tanpa memerlukan masker untuk paparan pemindaian langsung. Di masa lalu, teknologi litografi topeng adalah pilihan terbaik dalam jalur proses litografi, namun dengan meningkatnya biaya, di masa depan, teknologi litografi penulisan langsung tanpa topeng secara bertahap akan menjadi lebih populer di industri karena keunggulan biayanya; dan tata letak industri fokus pada. Khususnya di bidang pengemasan canggih, teknologi litografi tulis langsung secara bertahap menjadi kekuatan utama dalam mendorong inovasi industri dengan keunggulan unik dan potensi pasar yang luas.

Bagaimana litografi penulisan langsung mengubah lanskap pasar pengemasan yang canggih

Sebagai pemimpin segmen dalam peralatan litografi tulis langsung dalam negeri, Xinqi Micropackaging terus mempercepat pengembangannya dalam papan pembawa, pengemasan canggih, tampilan baru, dan masking seiring dengan meningkatnya permintaan akan papan pembawa PCB dan IC kelas menengah hingga atas dan lokalisasi. peningkatan laju. Tata letak dalam pembuatan templat, perangkat diskrit daya, pelapisan tembaga fotovoltaik, dll. Pan Changlong mengatakan bahwa di bidang pengemasan canggih,Selain biaya dan kemudahan pengoperasian karena kurangnya masker, peralatan litografi tulis langsung yang dipasang mikro Xinqi juga memiliki keunggulan dalam RDL, interkoneksi, koreksi cerdas, dan kesesuaian untuk pengemasan chip area besar lancar di sisi klien, dan telah menerima pesanan berulang terus menerus dari pelanggan pengemasan canggih terkemuka di Tiongkok.

Pan Changlong merangkum beberapa keuntungan utama penerapan teknologi litografi tulis langsung pada pengemasan tingkat lanjut. Pertama, produksi masker seringkali memakan waktu dan biaya. Litografi penulisan langsung tidak menggunakan masker yang dibutuhkan oleh litografi stepper tradisional.Dengan melakukan pemaparan pola secara digital langsung pada wafer silikon, waktu mulai dari desain produk hingga pasar menjadi jauh lebih singkat dan biaya produksi berkurang secara signifikan.Selain itu, teknologi litografi tulis langsung dapat beradaptasi dengan desain RDL yang kompleks dan struktur pengemasan multi-lapis, yang mungkin sulit dicapai dalam litografi stepper tradisional. Pelanggan dapat menyesuaikan dan mengoptimalkan desain dengan lebih fleksibel untuk memenuhi kebutuhan yang berbeda, terutama dalam penelitian dan pengembangan atau sampel tahap pengembangan.

Kedua, teknologi litografi tulis langsung mengurangi kebutuhan pertukaran dan penyambungan masker, menyederhanakan proses produksi, sehingga meningkatkan efisiensi produksi. Terutama dengan bertambahnya area pengemasan dan perkembangan teknologi seperti CoWoS-L dan FoPLP, teknologi litografi tulis langsung dapat secara efektif menjawab tantangan pengemasan berukuran besar. Produk ini dapat menangani desain kemasan area luas yang melebihi ukuran masker tradisional, menghindari masalah penyambungan masker, dan meningkatkan efisiensi produksi. Pada saat yang sama, mode multi-segmentasi bebas dan ekspansi cerdas dan kontraksi fotolitografi langsung memiliki kualitas yang sangat baik dalam menangani deformasi warping multi-lapisan berukuran besar dalam kemasan tingkat papan.

Terakhir, sebagai respons terhadap permintaan pasar saat ini akan lokalisasi dan pengurangan ketergantungan eksternal, Tiongkok daratan meningkatkan upayanya untuk mengembangkan pengemasan canggih seperti CoWoS dan Chiplet untuk menutupi kesenjangan kinerja meskipun terdapat keterbatasan dalam proses lanjutan. penulisan langsung Teknologi litografi memberikan solusi otonom dan terkendali yang membantu mengurangi risiko rantai pasokan dan meningkatkan daya saing industri dalam negeri.


“Seiring dengan meningkatnya kebutuhan akan chip berperforma tinggi dan daya komputasi yang besar, permintaan akan teknologi pengemasan canggih seperti CoWoS-L dan FoPLP akan terus meningkat dengan munculnya produk masa depan seperti RDL dan SOW berukuran besar. teknologi litografi tulis langsung akan mengandalkan keunggulannya dalam kemasan ukuran besar dan biaya akan membuka ruang pasar yang luas,” kata Pan Changlong.Saat ini, peralatan pengemasan mikro Xinqi telah mencapai lebar garis serendah 2um, dan proses yang terlibat meliputi pengkabelan vertikal TSV, pengkabelan horizontal yang menabrak tautan RDL, dll., dengan masker digital yang fleksibel dan hasil yang tinggi, memenuhi persyaratan pelanggan pengemasan tingkat lanjut. Saat ini, banyak perangkat telah dikirimkan ke pelanggan, dan stabilitas serta fungsionalitas produk telah diverifikasi.

Perlu dicatat bahwa selain proses fotolitografi, litografi tulis langsung juga menunjukkan keunggulan teknis yang signifikan di bidang pemotongan wafer dan koreksi cerdas.

Pan Changlong menunjukkan bahwa dalam proses pembuatan chip, proses pemotongan perlu digunakan untuk mencoret wafer. Namun, pemotongan berlian tradisional, pemotongan roda gerinda atau pemotongan laser akan menyebabkan kerusakan serius pada wafer, yang mengakibatkan tekanan wafer, retak, dan retak. Penurunan kinerja chip dan masalah lainnya. Saat ini, di bidang pengemasan canggih, pelanggan kelas atas mulai menggunakan pemotongan plasma deep silikon etsa (DRIE) untuk menggantikan metode pemotongan tradisional. Namun DRIE memerlukan proses pemaparan, namun proses pemaparan ini tidak rumit. Teknologi litografi tulis langsung dapat secara langsung menggambar garis potong yang presisi pada wafer silikon atau bahan dasar lainnya. membentuk dan memungkinkan tepi pemotongan lebih halus dan presisi, mengurangi tekanan dan kerusakan yang dapat ditimbulkan oleh metode pemotongan tradisional seperti pemotongan pisau atau pemotongan laser. Selain itu, karena litografi penulisan langsung menggunakan berkas digital dan topeng virtual, maka tidak diperlukan pembuatan dan penggantian topeng fisik untuk setiap pola pemotongan yang berbeda, sehingga sangat menghemat biaya dan waktu.

Skenario CoWoS lainnya adalah integrasi beberapa HBM dalam chip AI, yang memerlukan penumpukan beberapa chip DRAM untuk membentuk unit penyimpanan berkapasitas besar. Litografi tulis langsung dapat digunakan dalam proses ini untuk menggambar garis juru tulis secara akurat untuk memotong dan menumpuk chip.Dibandingkan dengan metode pemotongan tradisional, metode ini tidak hanya meningkatkan akurasi pemotongan, namun juga membantu mencapai penumpukan chip yang lebih rapat, sehingga meningkatkan kepadatan dan kinerja penyimpanan. . Selain itu, teknologi litografi tulis langsung juga dapat memastikan kerataan permukaan chip yang tinggi setelah pemotongan, yang sangat penting untuk proses selanjutnya seperti pengikatan hibrid.

“Penerapan teknologi litografi penulisan langsung dalam dua skenario pemotongan ini tidak hanya dapat meningkatkan akurasi dan kualitas pemotongan, tetapi juga mengurangi biaya dan waktu produksi, serta meningkatkan efisiensi produksi secara keseluruhan.” Pan Changlong menekankan, “Melalui litografi penulisan langsung”

Selain itu, teknologi litografi tulis langsung semakin banyak digunakan untuk koreksi cerdas.

Pan Changlong menjelaskan bahwa saat ini terdapat tiga kesulitan teknis utama dalam pengemasan rekonstruksi wafer untuk pengemasan lanjutan. Yang pertama adalah pergeseran cetakan, yang mengacu pada penyimpangan, pemuaian, dan kontraksi selama proses transfer chip posisi preset, yang memerlukan koreksi; yang kedua adalah warpage, yang merupakan deformasi yang disebabkan oleh ketidakcocokan koefisien ekspansi termal bahan EMC dan wafer silikon, yang dapat menyebabkan paparan yang buruk ). Untuk masalah offset partikel inti, teknologi litografi tulis langsung dapat memastikan keakuratan pola lapisan RDL dengan mengubah lapisan kabel atau PI atau koreksi pola koreksi benjolan. Selain itu, dalam proses penempatan FoWLP, solusi koreksi PI berdasarkan litografi penulisan langsung dapat mengurangi kesalahan penempatan mesin penempatan. Oleh karena itu, di bidang offset butiran, lengkungan substrat, deformasi substrat, dll., kemampuan penyesuaian adaptif dari teknologi litografi tulis langsung memberikan keunggulan berupa hasil tinggi dan konsistensi yang baik.

Karena keunggulan litografi tulis langsung dibandingkan dengan litografi stepper terutama tercermin dalam kemampuan untuk mencapai penyesuaian pola waktu nyata tanpa topeng fisik dan meningkatkan efisiensi dan hasil produksi, litografi ini dapat beradaptasi dengan koreksi kompleks multi-lapisan dan ukuran besar. paket. perlu. Fleksibilitas dan kemampuan koreksi presisi tinggi menyederhanakan proses produksi, mengurangi biaya, dan mendukung perkembangan pesat teknologi pengemasan canggih untuk memenuhi permintaan pasar akan chip berkinerja tinggi dan kepadatan tinggi.

Peluang dan tantangan hidup berdampingan, dan rantai ekologi litografi penulisan langsung sedang dibentuk ulang

Menurut perkiraan Yole dan Jiwei Consulting, pasar pengemasan canggih global akan tumbuh dari US$37,9 miliar menjadi US$48,2 miliar dari tahun 2022 hingga 2026, dengan CAGR sebesar 6,2%. Di masa depan, proporsi teknologi pengemasan canggih di seluruh pasar pengemasan secara bertahap meningkat. Perkembangan pengemasan 3D, pengemasan sektor (FOWLP/PLP), teknologi pengikatan kawat mikro-pitch, dan pengemasan tingkat sistem (SiP) telah menjadi. penting untuk melanjutkan cara Hukum Moore.

Pada saat yang sama, Yole juga memperkirakan bahwa di bidang pengemasan IC tingkat lanjut, peralatan litografi penulisan langsung laser akan secara bertahap matang dan menempati pangsa pasar tertentu dalam tiga tahun ke depan, dengan prospek penerapan pasar yang baik. Memang benar bahwa teknologi litografi tulis langsung mulai muncul di bidang pengemasan tingkat lanjut, namun masih perlu mengatasi serangkaian tantangan teknis dan pasar sebelum dapat digunakan dalam produksi massal skala besar.

Pan Changlong menunjukkan hal itu pertama-tama,Dengan berkembangnya teknologi pengemasan yang canggih, persyaratan akurasi fotolitografi semakin tinggi. . Teknologi litografi tulis langsung perlu lebih meningkatkan resolusinya untuk memenuhi persyaratan pengemasan untuk lebar garis yang lebih kecil dan kepadatan yang lebih tinggi.Kedua, litografi tulis langsung belum sepenuhnya sebanding dengan litografi stepper dalam hal rendemen dan laju produksi (UPH), dan hambatan hasil adalah masih belum ada photoresist yang dikembangkan secara khusus untuk litografi tulis langsung di pasaran dan sumber cahaya yang cocok .Bahan lapisan photoresist dan dielektrik tradisional dirancang untuk mesin litografi stepper, dan teknologi litografi penulisan langsung perlu lebih disesuaikan dengan bahan-bahan ini untuk memastikan kualitas dan efisiensi litografi.Terakhir, banyak pelanggan pengemasan yang masih kurang memahami teknologi litografi tulis langsung, dan diperlukan lebih banyak pendidikan pasar serta pemasyarakatan teknologi untuk meningkatkan kesadaran dan penerimaan pelanggan.Dan bagaimana menonjolkan keunggulan unik dekorasi mikro Xinqi dalam persaingan pasar dan memenangkan kepercayaan pelanggan juga merupakan tantangan besar.

Karena perkembangan industri semikonduktor dalam negeri di bidang proses lanjutan terbatas, permintaan akan pengemasan canggih semakin meningkat dari hari ke hari. Saat ini, penelitian dan pengembangan di Tiongkok daratan di bidang pengemasan 2.5D dan 3D seperti CoWoS semakin cepat. Xinqi Micropackaging telah merumuskan dan mengadopsi serangkaian rencana dan langkah praktis dan efektif untuk mempromosikan lokalisasi kemasan canggih.

"Litbang lokal merupakan salah satu strategi inti Peralatan Mikro Xinqi. Perusahaan telah membentuk tim Litbang lokal yang kuat dan berfokus pada inovasi teknologi dan pengembangan produk guna memastikan bahwa teknologi tersebut dapat merespons kebutuhan pelanggan domestik secara tepat waktu. Melalui penelitian dan pengembangan lokal, Xinqi Micro Packaging dapat dengan cepat beradaptasi terhadap perubahan pasar dan mendorong kemajuan teknologi. Pan Changlong berkata, “Dalam hal meningkatkan hasil litografi tulis langsung dan efisiensi produksi, Xinqi Micropackaging juga telah menjalin kerja sama yang erat dengan rantai industri hulu dan hilir dalam negeri. Misalnya, pada photoresist pendukung, Xinqi Micro Devices bekerja sama dengan produsen photoresist i-line dan KrF di Jepang dan daratan untuk melakukan verifikasi produksi, penyesuaian formula, dan pekerjaan lain guna meningkatkan kelayakan produksi massal. Pada saat yang sama, Xinqi Micropackaging juga telah menjalin hubungan kerja sama yang erat dengan pabrik pengemasan dalam negeri, perusahaan desain, dan pabrik wafer untuk memahami kebutuhan pelanggan dan umpan balik penggunaan serta memberi mereka solusi yang disesuaikan. "

Perlu disebutkan bahwa,Majelis Mikro Xinqi berkomitmen untuk meningkatkan proporsi lokalisasi suku cadang. Saat ini, lebih dari 90% suku cadang telah dilokalisasi.Hal ini tidak hanya mengurangi ketergantungan pada suku cadang dan komponen impor serta meningkatkan stabilitas rantai pasokan, namun juga mengurangi biaya produksi dan meningkatkan daya saing pasar produk.

Seiring dengan semakin matangnya teknologi dan pasar secara bertahap mengenalinya, seluruh rantai ekologi akan dibentuk kembali. Semua mata rantai dalam rantai ekologi, mulai dari pemasok bahan hingga produsen peralatan hingga perusahaan pengemasan akhir, mulai secara aktif beradaptasi dengan perubahan ini produk, proses baru, dan solusi baru yang kompatibel dengan teknologi litografi penulisan langsung. Kerja sama lintas industri dan lintas bidang ini akan semakin mempercepat inovasi dan penerapan teknologi litografi tulis langsung.

Litografi tulis langsung diyakini tidak hanya akan memainkan peran yang semakin penting dalam bidang pengemasan canggih, namun juga menjadi pendorong penting dalam membentuk kembali struktur rantai industri semikonduktor dalam negeri dan meningkatkan daya saing industri.