notizia

Per far fronte alle sfide avanzate del packaging, la tecnologia litografica a scrittura diretta di micropackaging Xinqi aiuta le scoperte innovative locali

2024-08-05

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Applicazioni come l’intelligenza artificiale (AI) e il calcolo ad alte prestazioni (HPC) hanno portato a un’impennata della domanda di chip informatici ad alta potenza. Mentre la legge di Moore si avvicina al suo limite, il packaging avanzato sta gradualmente diventando la chiave per migliorare le prestazioni dei chip. Attualmente, molte tecnologie di packaging avanzate come 2.5D, 3D-IC, integrazione eterogenea e chiplet aiutano i progettisti di chip a fornire più funzioni in chip con dimensioni più piccole e consumo energetico inferiore e a ottenere un salto di prestazioni. Tuttavia, questi progressi tecnologici comportano anche sfide senza precedenti, che impongono requisiti più elevati ai processi di produzione, alle attrezzature e ai materiali esistenti.

Il confezionamento sempre più avanzato prevede processi noti come "via di mezzo" tra la produzione di wafer ("front-end") e il confezionamento e test dei chip ("back-end"), compresa la ridistribuzione (RDL), la produzione bump (Bumping) e la silicon via (TSV) e altre tecnologie di processo implicano fotolitografia, sviluppo, incisione, stripping e altre fasi di processo simili alla produzione di wafer. Tra questi, la tecnologia della fotolitografia gioca un ruolo cruciale. Le apparecchiature per la fotolitografia sono state ampiamente utilizzate nella produzione di bumping per imballaggi con struttura flip-chip, RDL, TSV per imballaggi 2.5D/3D, ecc. nel campo degli imballaggi avanzati.

Oggi, nel campo del packaging a livello di scheda e della produzione di substrati IC di fascia alta (substrato), la litografia a scrittura diretta ha completamente sostituito la litografia tradizionale nei campi dei display di fascia alta, del packaging avanzato e dei semiconduttori di terza generazione, diretta Anche la litografia a scrittura ha cominciato ad emergere. Sotto l'onda dell'imballaggio avanzato, Xinqi Micropackaging, leader nazionale nella tecnologia di litografia a scrittura diretta, sta apportando cambiamenti rivoluzionari al settore con le sue eccellenti prestazioni e soluzioni tecniche innovative.

Stanno arrivando gli imballaggi avanzati e sta emergendo la litografia a scrittura diretta

Prendiamo come esempio TSMC CoWoS, che ha attirato molta attenzione dallo scorso anno. Si tratta di una tecnologia di packaging 2.5D che è una combinazione di CoW e OS. Innanzitutto, il chip viene collegato al wafer di silicio attraverso il processo di confezionamento Chip on Wafer (CoW), quindi il chip CoW viene collegato al substrato (Substrate) per integrarlo in CoWoS. Il nucleo di questa tecnologia è impilare diversi chip sullo stesso interpositore di silicio per interconnettere più chip. Nell'interpositore di silicio, TSMC utilizza micro-bump (μBmp), through-silicon vias (TSV) e altre tecnologie per sostituire il tradizionale wire bonding per le connessioni tra die, migliorando notevolmente la densità di interconnessione e la larghezza di banda di trasmissione dei dati. A seconda dei diversi interposer utilizzati, TSMC divide la tecnologia di packaging CoWoS in tre tipologie: CoWoS-S (Silicon Interposer), CoWoS-R (RDL Interposer) e CoWoS-L (Local Silicon Interconnect e RDL Interposer).

Ad esempio, CoWoS viene utilizzato per produrre chip AI ad alte prestazioni per aziende come Nvidia, AMD, Amazon e Google Poiché il numero di transistor nei chip AI continua ad aumentare e poiché viene utilizzato nei data center e nel cloud computing, i requisiti dimensionali non sono elevati, pertanto è probabile che i futuri chip AI diventino sempre più grandi. Attualmente, TSMC sta utilizzando la tecnologia di packaging CoWoS per sviluppare chip AI più grandi di Instinct MI300X e Nvidia B200 di AMD. L'area di packaging ha raggiunto 120 mm x 120 mm.



Pan Changlong, direttore delle vendite di Pan-Semiconductor presso Xinqi Micropackaging, ha sottolineato che attualmente TSMC utilizza principalmente CoWoS-S Poiché esistono sempre più progetti di chip di ampia area, ci sono sempre più interposer e le dimensioni della maschera stanno diventando più grandi e più grande Quando vengono utilizzati gli intermediari, se lo strato raggiunge più di quattro volte quello del reticolo più grande di TSMC (reticolo 1X≈830mm²), che è superiore a 3,3 volte quello del suo attuale strato interpositore, si sposterà su CoWoS-L.


Pan Changlong, direttore delle vendite di Semiconductor Semiconductor

I dati mostrano che il limite teorico del reticolo EUV è 858 mm² (26 mm x 33 mm), quindi giuntando sei maschere si otterrà un SiP di 5148 mm². Un interposer così grande non solo fornisce spazio per più chiplet di elaborazione di grandi dimensioni, ma lascia anche spazio sufficiente per la memoria HBM a 12 stack, il che significa una larghezza di banda dell'interfaccia di memoria a 12288 bit fino a 9,8 TB/secondo. Costruire un SiP da 5148 mm² è un compito estremamente difficile. L'attuale acceleratore Nvidia H100, la cui confezione copre più dimensioni di maschera su un interposer, è costato fino a $ 30.000. Di conseguenza, è probabile che chip più grandi e più potenti aumentino ulteriormente i costi di imballaggio.

Oltre a CoWoS-L, alcune società di progettazione di chip hanno iniziato a studiare anche sistemi a livello di wafer (System on Wafer, SoW). Questo tipo di progettazione utilizza l'intero wafer come unità di confezionamento e chip relativi alla logica, allo stoccaggio e al controllo devono essere integrati tramite il packaging, il cablaggio di RDL sarà piuttosto complesso e il numero di livelli RDL sarà sempre più elevato.

Per quanto riguarda le tendenze di queste due tecnologie di confezionamento avanzate, Pan Changlong ha affermato che il confezionamento di chip su aree più grandi comporterà molte sfide per l'uso delle tradizionali macchine litografiche passo-passo.

Uno è il problema della giunzione delle maschere. Man mano che l'area di confezionamento aumenta, una singola maschera non può coprire l'intero chip ed è necessario utilizzare e giuntare più maschere. Ciò aggiunge complessità al processo di produzione e può portare a errori di allineamento nelle giunzioni, influenzando le prestazioni e la resa del prodotto finale. Inoltre, l’aumento dell’area di imballaggio può aumentare deformazioni e difetti durante il processo produttivo, con conseguente diminuzione della resa. Soprattutto nell'area di cucitura della maschera, qualsiasi piccolo errore può influire sulle prestazioni dell'intero chip. Con l'integrazione dei chip e l'uso di wafer di grandi dimensioni, il problema della deformazione dei wafer è diventato sempre più serio ed è diventato una delle principali sfide che influiscono sull'affidabilità degli imballaggi avanzati.

In secondo luogo, la complessità della progettazione aumenta e l’efficienza produttiva diminuisce. La progettazione di contenitori di grandi dimensioni richiede una tecnologia di cablaggio e impilamento più complessa. Ad esempio, il cablaggio dello strato RDL sarà piuttosto complesso e il numero di strati aumenterà, il che pone sfide estremamente elevate sia al lavoro di progettazione che al processo di produzione. . In particolare, la progettazione di imballaggi di grandi dimensioni richiede il cambio delle maschere nella macchina per fotolitografia per esporre le linee sullo stesso strato. Questo cambio frequente delle maschere ridurrà l'efficienza produttiva e allungherà il ciclo di produzione.

Il terzo riguarda le limitazioni delle apparecchiature. La dimensione della maschera delle tradizionali apparecchiature di litografia a proiezione passo-passo è per lo più 26×33 mm² e potrebbe non esserci esperienza nella gestione di problemi come la deformazione di imballaggi di grandi dimensioni. La fotolitografia per confezioni di grandi dimensioni richiede apparecchiature in grado di gestire wafer/supporti di grandi dimensioni e di gestire problemi come la deformazione.

Pan Changlong ha affermato che oltre agli imballaggi a livello di wafer come CoWoS e SoW, anche la tecnologia di imballaggio FoPLP ha iniziato a guadagnare slancio. Anche le macchine litografiche stepper non sono in grado di far fronte a imballaggi di grandi dimensioni, mentre la tecnologia di litografia a scrittura diretta lo sarà il migliore.

Nel campo dei pan-semiconduttori, la tecnologia della litografia è principalmente divisa in litografia con maschera e litografia a scrittura diretta a seconda che venga utilizzata una maschera. La litografia con maschera può essere ulteriormente suddivisa in litografia di prossimità/contatto e litografia di proiezione.La litografia a scrittura diretta, nota anche come litografia senza maschera, si riferisce a una proiezione focalizzata del raggio ad alta precisione, controllata da computer, sulla superficie di un substrato rivestito con materiale fotosensibile, senza la necessità di una maschera per l'esposizione a scansione diretta. Per molto tempo in passato, la tecnologia di litografia con maschera è stata la scelta migliore nel percorso del processo di litografia, tuttavia, con l'aumento dei costi, in futuro, la tecnologia di litografia con scrittura diretta senza maschera potrebbe diventare gradualmente più popolare nel settore grazie ai suoi vantaggi in termini di costi; e il layout del settore su cui concentrarsi. Soprattutto nel campo degli imballaggi avanzati, la tecnologia della litografia a scrittura diretta sta gradualmente diventando una forza chiave nella promozione dell’innovazione del settore con i suoi vantaggi unici e l’ampio potenziale di mercato.

In che modo la litografia a scrittura diretta riscrive il panorama del mercato degli imballaggi avanzati

In qualità di leader del segmento nelle apparecchiature di litografia a scrittura diretta per uso domestico, Xinqi Micropackaging accelera continuamente il suo sviluppo di schede portanti, imballaggi avanzati, nuovi display e mascheramenti man mano che aumenta la domanda di schede portanti PCB e IC di fascia medio-alta e la localizzazione aumenti di tariffa. Layout nella creazione di modelli, dispositivi discreti di alimentazione, placcatura in rame fotovoltaica, ecc. Pan Changlong ha affermato che nel campo degli imballaggi avanzati,Oltre al costo e alla comodità di funzionamento derivanti dalla mancanza di una maschera, l'attrezzatura di litografia a scrittura diretta micromontata di Xinqi presenta anche vantaggi in RDL, interconnessione, correzione intelligente e idoneità per il confezionamento di chip su grandi aree. L'attrezzatura sta facendo progressi senza problemi dal lato del cliente e ha ricevuto continui ordini ripetuti dai principali clienti cinesi di imballaggi avanzati.

Pan Changlong ha riassunto i principali vantaggi derivanti dall'applicazione della tecnologia di litografia a scrittura diretta agli imballaggi avanzati. Innanzitutto, la produzione di maschere è spesso dispendiosa in termini di tempo e denaro. La litografia a scrittura diretta non utilizza le maschere richieste dalla tradizionale litografia stepper.Eseguendo digitalmente l'esposizione del modello direttamente sul wafer di silicio, il tempo dalla progettazione del prodotto al mercato viene notevolmente ridotto e i costi di produzione vengono significativamente ridotti.Inoltre, la tecnologia di litografia a scrittura diretta può adattarsi a progetti RDL complessi e a strutture di imballaggio multistrato, che potrebbero essere difficili da ottenere nella tradizionale litografia stepper. I clienti possono regolare e ottimizzare in modo più flessibile i progetti per soddisfare le diverse esigenze, soprattutto in ambito ricerca e sviluppo fase di sviluppo.

In secondo luogo, la tecnologia di litografia a scrittura diretta riduce la necessità di cambio maschera e giunzione, semplificando il processo di produzione e migliorando così l’efficienza produttiva. Soprattutto con l’aumento dell’area di imballaggio e lo sviluppo di tecnologie come CoWoS-L e FoPLP, la tecnologia di litografia a scrittura diretta può affrontare efficacemente le sfide degli imballaggi di grandi dimensioni. È in grado di gestire progetti di imballaggi di grandi dimensioni che superano le dimensioni delle maschere tradizionali, evitando problemi di giunzione delle maschere e migliorando l'efficienza produttiva. Allo stesso tempo, la multi-segmentazione libera e le modalità intelligenti di espansione e contrazione della fotolitografia diretta hanno una qualità eccellente nel gestire la deformazione di deformazione di multistrati di grandi dimensioni negli imballaggi a livello di cartone.

Infine, in risposta all’attuale domanda del mercato di localizzazione e riduzione della dipendenza esterna, la Cina continentale sta aumentando i propri sforzi per sviluppare packaging avanzati come CoWoS e Chiplet per colmare il divario prestazionale nonostante i limiti dei processi avanzati. scrittura diretta La tecnologia litografica fornisce una soluzione autonoma e controllabile che aiuta a ridurre i rischi della catena di approvvigionamento e a migliorare la competitività delle industrie nazionali.


“Mentre i requisiti per chip ad alte prestazioni e di grande potenza di calcolo continuano ad aumentare, la domanda di tecnologie di packaging avanzate come CoWoS-L e FoPLP continuerà a crescere con l’emergere di prodotti futuri come RDL e SOW di grandi dimensioni. La tecnologia di litografia a scrittura diretta farà affidamento sui suoi I vantaggi nell'imballaggio di grandi dimensioni e nei costi introdurranno un ampio spazio di mercato," ha affermato Pan Changlong.Allo stato attuale, le apparecchiature di microimballaggio Xinqi hanno raggiunto larghezze di linea fino a 2um e i processi coinvolti includono il cablaggio verticale TSV, il cablaggio orizzontale che urta i collegamenti RDL, ecc., soddisfacendo le esigenze dei clienti di imballaggi avanzati con maschere digitali flessibili e tassi di rendimento elevati Attualmente, ai clienti sono stati consegnati più dispositivi e la stabilità e la funzionalità dei prodotti sono state verificate.

Vale la pena notare che oltre al processo di fotolitografia, la litografia a scrittura diretta presenta notevoli vantaggi tecnici anche nel campo del taglio dei wafer e della correzione intelligente.

Pan Changlong ha sottolineato che nel processo di produzione dei chip, è necessario utilizzare il processo di taglio per incidere il wafer. Tuttavia, il tradizionale taglio del diamante, il taglio con mola o il taglio laser causeranno gravi danni al wafer, con conseguente stress, fessurazione e rottura del wafer. Degrado delle prestazioni del chip e altri problemi. Attualmente, nel campo degli imballaggi avanzati, i clienti di fascia alta stanno iniziando a utilizzare il taglio al plasma DRIE (Deep Silicon Etching) per sostituire i metodi di taglio tradizionali. Tuttavia, DRIE richiede un processo di esposizione, ma questo processo di esposizione non è complicato. La tecnologia di litografia a scrittura diretta può tracciare direttamente linee di taglio precise su wafer di silicio o altri materiali di base. Questi modelli possono essere semplici linee rette, curve o altre geometrie complesse. forma e consente bordi di taglio più lisci e precisi, riducendo lo stress e i danni che possono essere introdotti dai metodi di taglio tradizionali come il taglio a coltello o il taglio laser. Inoltre, poiché la litografia a scrittura diretta utilizza un raggio digitale e una maschera virtuale, non richiede la creazione e la sostituzione di maschere fisiche per ogni diverso modello di taglio, con un notevole risparmio di costi e tempo.

Un altro tipico scenario CoWoS è l'integrazione di più HBM nei chip AI, che richiede l'impilamento di più chip DRAM per formare un'unità di archiviazione di grande capacità. In questo processo è possibile utilizzare la litografia a scrittura diretta per tracciare con precisione le linee di tracciatura per tagliare e impilare i chip.Rispetto ai metodi di taglio tradizionali, non solo migliora la precisione di taglio, ma aiuta anche a ottenere un impilamento dei trucioli più stretto, migliorando così la densità di stoccaggio e le prestazioni. . Inoltre, la tecnologia di litografia a scrittura diretta può anche garantire un’elevata planarità della superficie del chip dopo il taglio, che è fondamentale per processi successivi come il bonding ibrido.

"L'applicazione della tecnologia di litografia a scrittura diretta in questi due scenari di taglio può non solo migliorare la precisione e la qualità del taglio, ma anche ridurre i costi e i tempi di produzione e migliorare l'efficienza complessiva della produzione", ha sottolineato Pan Changlong: "Attraverso la litografia a scrittura diretta"

Inoltre, la tecnologia litografica a scrittura diretta è sempre più utilizzata per la correzione intelligente.

Pan Changlong ha spiegato che attualmente esistono tre principali difficoltà tecniche nell'imballaggio per la ricostruzione dei wafer per l'imballaggio avanzato. La prima è lo spostamento del die, che si riferisce alla deviazione, espansione e contrazione durante il processo di trasferimento del chip. Ciò si traduce in una deviazione tra la posizione effettiva del nucleo e la posizione preimpostata, che richiede una correzione; la seconda è la deformazione, che è una deformazione causata da una mancata corrispondenza del coefficiente di dilatazione termica del materiale EMC e del wafer di silicio, che può portare a una scarsa esposizione; ). Per il problema dell'offset delle particelle centrali, la tecnologia di litografia a scrittura diretta può garantire l'accuratezza del modello di strato RDL modificando il cablaggio o lo strato PI o la correzione del modello di correzione dell'urto. Inoltre, nel processo di posizionamento FoWLP, la soluzione di correzione PI basata sulla litografia a scrittura diretta può ridurre molto bene l'errore di posizionamento della macchina di posizionamento. Pertanto, nei campi della compensazione della grana, della deformazione del substrato, della deformazione del substrato, ecc., la capacità di regolazione adattiva della tecnologia di litografia a scrittura diretta offre i vantaggi di un'elevata resa e di una buona consistenza.

Poiché i vantaggi della litografia a scrittura diretta rispetto alla litografia stepper si riflettono principalmente nella capacità di ottenere una regolazione del modello in tempo reale senza maschera fisica, migliorando l'efficienza e la resa della produzione, può adattarsi alla correzione complessa di multistrato e di grandi dimensioni pacchetti. La sua flessibilità e le capacità di correzione ad alta precisione semplificano il processo di produzione, riducono i costi e supportano il rapido sviluppo di tecnologie di confezionamento avanzate per soddisfare la domanda del mercato di chip ad alte prestazioni e ad alta densità.

Opportunità e sfide coesistono e la catena ecologica della litografia diretta viene rimodellata

Secondo le stime di Yole e Jiwei Consulting, il mercato globale degli imballaggi avanzati crescerà da 37,9 miliardi di dollari a 48,2 miliardi di dollari dal 2022 al 2026, con un CAGR del 6,2%. In futuro, la percentuale della tecnologia di imballaggio avanzata nell'intero mercato dell'imballaggio aumenterà gradualmente. Lo sviluppo dell'imballaggio 3D, dell'imballaggio settoriale (FOWLP/PLP), della tecnologia di incollaggio del filo a passo micro e dell'imballaggio a livello di sistema (SiP) è diventato. importante continuare la via della Legge di Moore.

Allo stesso tempo, Yole prevede anche che nel campo del confezionamento avanzato di circuiti integrati, le apparecchiature di litografia per scrittura diretta laser matureranno gradualmente e occuperanno una certa quota di mercato nei prossimi tre anni, con buone prospettive di applicazione sul mercato. È vero che la tecnologia di litografia a scrittura diretta ha iniziato ad emergere nel campo del packaging avanzato, ma deve ancora superare una serie di sfide tecniche e di mercato prima di poter essere utilizzata nella produzione di massa su larga scala.

Pan Changlong ha sottolineato che, prima di tutto,Con lo sviluppo di tecnologie di imballaggio avanzate, i requisiti di precisione della fotolitografia stanno diventando sempre più elevati. . La tecnologia di litografia a scrittura diretta deve migliorare ulteriormente la sua risoluzione per soddisfare i requisiti di imballaggio per larghezze di linea più piccole e densità più elevata.In secondo luogo, la litografia a scrittura diretta non è ancora del tutto paragonabile alla litografia stepper in termini di resa e velocità di produzione (UPH), e il collo di bottiglia della resa è principalmente dovuto al fatto che sul mercato non esiste ancora fotoresist sviluppato appositamente per la litografia a scrittura diretta e luce corrispondente fonti.I tradizionali materiali fotoresist e strati dielettrici sono progettati per macchine di litografia stepper e la tecnologia di litografia a scrittura diretta deve essere meglio abbinata a questi materiali per garantire qualità ed efficienza della litografia.Infine, molti clienti del settore del packaging non comprendono ancora la tecnologia della litografia a scrittura diretta e sono necessarie una maggiore educazione del mercato e una maggiore divulgazione della tecnologia per migliorare la consapevolezza e l'accettazione da parte dei clienti.E anche come evidenziare i vantaggi unici della micro-decorazione Xinqi nella concorrenza del mercato e conquistare la fiducia dei clienti è una grande sfida.

Poiché lo sviluppo dell'industria nazionale dei semiconduttori nel campo dei processi avanzati è limitato, la domanda di imballaggi avanzati aumenta di giorno in giorno. Attualmente, la ricerca e lo sviluppo della Cina continentale nei settori dell'imballaggio 2.5D e 3D come CoWoS stanno accelerando. Xinqi Micropackaging ha formulato e adottato una serie di piani e misure pratici ed efficaci per promuovere la localizzazione di imballaggi avanzati.

"La ricerca e sviluppo localizzata è una delle strategie principali di Xinqi Micro-Device. L'azienda ha creato un forte team di ricerca e sviluppo locale che si concentra sull'innovazione tecnologica e sullo sviluppo del prodotto per garantire che la tecnologia possa rispondere alle esigenze dei clienti domestici in modo tempestivo. Attraverso la ricerca e lo sviluppo localizzati, Xinqi Micro Packaging può adattarsi rapidamente ai cambiamenti del mercato e promuovere il progresso tecnologico. "Pan Changlong ha affermato: "In termini di miglioramento della resa della litografia a scrittura diretta e dell'efficienza produttiva, Xinqi Micropackaging ha anche stabilito una stretta collaborazione con le catene industriali nazionali a monte e a valle. Ad esempio, sul fotoresist di supporto, Xinqi Micro Devices sta lavorando a stretto contatto con i produttori giapponesi e continentali di fotoresist i-line e KrF per condurre verifiche della produzione, aggiustamenti della formula e altri lavori per migliorare la fattibilità della produzione di massa. Allo stesso tempo, Xinqi Micropackaging ha anche stabilito stretti rapporti di collaborazione con stabilimenti di imballaggio nazionali, aziende di progettazione e fabbriche di wafer per comprendere le esigenze dei clienti e il feedback sull'utilizzo e fornire loro soluzioni personalizzate. "

Vale la pena ricordare che,Xinqi Micro-assembly si impegna ad aumentare la percentuale di localizzazione delle parti. Attualmente, oltre il 90% delle parti è stato localizzato.Ciò non solo riduce la dipendenza da parti e componenti importati e migliora la stabilità della catena di approvvigionamento, ma riduce anche i costi di produzione e migliora la competitività dei prodotti sul mercato.

Man mano che la tecnologia continua a maturare e il mercato la riconosce gradualmente, l’intera catena ecologica verrà rimodellata, tutti gli anelli della catena ecologica, dai fornitori di materiali ai produttori di apparecchiature fino alle aziende di imballaggio finale, stanno iniziando ad adattarsi attivamente a questo cambiamento, esplorando nuove opportunità prodotti, nuovi processi e nuove soluzioni compatibili con la tecnologia della litografia a scrittura diretta. Questa cooperazione intersettoriale e interdisciplinare accelererà ulteriormente l’innovazione e l’applicazione della tecnologia di litografia a scrittura diretta.

Si ritiene che la litografia a scrittura diretta non solo svolgerà un ruolo sempre più importante nel campo degli imballaggi avanzati, ma diventerà anche un importante motore nel rimodellare la struttura della catena industriale nazionale dei semiconduttori e nel migliorare la competitività industriale.