notícias

Para onde foram os FPGAs domésticos?

2024-07-24

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Com o rápido desenvolvimento da tecnologia de inteligência artificial (IA), os seus limites de aplicação continuam a expandir-se, desde o simples reconhecimento de imagens até ao complexo processamento de linguagem natural, atéPiloto automático, produção inteligente e outros campos de vanguarda, a IA está a mudar o nosso mundo a uma velocidade sem precedentes.

Nesta revolução da IA, a aprendizagem profunda, como sua principal força motriz, continua a promover a inovação de algoritmos e modelos, e também apresenta requisitos mais rigorosos para os recursos computacionais.

Embora o FPGA, que nasceu em 1985, não exista há muito tempo, ele já conquistou um lugar na onda dos chips com a vantagem única da “programabilidade” e se tornou outro forte rival dos chips GPU.

01

Recursos do FPGA

Os chips FPGA são desenvolvidos com base em dispositivos programáveis ​​(PAL, GAL, CPLD) e são circuitos integrados semi-personalizados e programáveis. FPGA possui três características principais:

Alta programabilidade e flexibilidade

Quer se trate de CPU, GPU, DSP, memória ou vários chips ASIC, após a fabricação do chip, sua função foi corrigida e os usuários não podem fazer nenhuma modificação em suas funções de hardware. Após a fabricação do chip FPGA, suas funções não são corrigidas. Os usuários podem usar o software EDA dedicado fornecido pela empresa de chips FPGA para configurar funcionalmente o circuito que projetaram de acordo com suas necessidades reais, convertendo assim o chip FPGA vazio em um chip FPGA. Um chip de circuito integrado com funções específicas.

Cada chip FPGA pode ser configurado com múltiplas funções diferentes para alcançar funções diferentes e é altamente flexível.

Ciclo de desenvolvimento curto

Em chips lógicos, por exemplo, o processo de fabricação ASIC inclui várias etapas, como implementação lógica, processamento de fiação e saída de fita, enquanto o FPGA não requer fiação, mascaramento e saída de fita personalizada, o que simplifica muito o desenvolvimento do chip. O ciclo de desenvolvimento de chips lógicos gerais, como ASIC, DSP, SOC, etc., leva de 14 a 24 meses ou até mais, enquanto o FPGA leva apenas de 6 a 12 meses, o que é 55% menos tempo do que outros ciclos de desenvolvimento de chips.

Assim como a Xilinx, maior fabricante mundial de FPGA, acredita que ser mais rápido é mais importante do que ser mais barato. Se um produto for lançado seis meses depois, seus lucros serão reduzidos em 33% em cinco anos. perda de 14% de participação de mercado.

Alta eficiência de computação paralela

FPGA pertence à computação paralela, ou seja, pode executar vários algoritmos de instrução ao mesmo tempo. ASICs, DSPs e CPUs tradicionais são todos computação serial e só podem processar um conjunto de instruções por vez. Portanto, em algumas tarefas especiais, a eficiência da computação paralela do FPGA é maior que a eficiência da computação serial.

CPU, GPU, ASIC e FPGA são quatro tipos de processadores de computador, e diferentes processadores têm vantagens exclusivas.

Comparação entre FPGA e chips convencionais

A CPU possui menos unidades de operação lógica (ALUs) e um controlador (controle) maior; a GPU possui unidades de operação lógica (ALUs) menores e mais, o controlador possui funções simples e há menos caches. A diferença na arquitetura torna a CPU boa em controle lógico e cálculos seriais, enquanto a GPU é boa em cálculos paralelos de alta intensidade. O poder de processamento de uma única unidade de computação da GPU é mais fraco do que o da ALU da CPU, mas um grande número de unidades de computação pode funcionar ao mesmo tempo. Quando confrontado com computação paralela de alta intensidade, seu desempenho é melhor do que. o da CPU. Hoje em dia, além do processamento de imagens, as GPUs são cada vez mais utilizadas em outros cálculos.

Pode-se dizer que a CPU é mais um excelente líder com múltiplas funções. Sua vantagem reside em fortes capacidades de agendamento, gerenciamento e coordenação, seguidas de poder computacional. A GPU equivale a um funcionário “com muito poder computacional” que aceita agendamento de CPU.

ASIC significa Circuito Integrado Específico de Aplicação, que se refere a um circuito integrado projetado e fabricado em resposta aos requisitos específicos do usuário e às necessidades de sistemas eletrônicos específicos. A característica do ASIC é que ele é orientado às necessidades de usuários específicos. Em comparação com os circuitos integrados de uso geral, o ASIC tem as vantagens de tamanho menor, menor consumo de energia, maior confiabilidade, melhor desempenho, maior confidencialidade e custo reduzido durante a massa. Produção.

O desenvolvimento de chips de inteligência artificial baseados em ASIC é mais parecido com um projeto de circuito, que requer otimização repetida e um longo ciclo de saída de fita, de modo que o ciclo de desenvolvimento é longo.

Após a produção em massa, o custo e o preço dos chips de inteligência artificial ASIC serão menores. Embora em comparação com o FPGA, os chips de inteligência artificial ASIC precisem passar por um ciclo de desenvolvimento mais longo e exijam investimentos caros em fita adesiva, esses investimentos iniciais em desenvolvimento serão diluídos após a produção em massa, portanto, após a produção em massa, o chip de inteligência artificial ASIC O custo e preço será inferior aos chips de inteligência artificial FPGA.

Beneficiando-se de sua arquitetura exclusiva, os atributos programáveis ​​do FPGA permitem alcançar um melhor equilíbrio entre poder de computação, custo e consumo de energia do que outros processadores.

02

FPGA está prestes a explodir

O FPGA possui uma ampla gama de cenários de aplicação devido à sua alta flexibilidade de programação, curto ciclo de desenvolvimento e alta eficiência de computação paralela.

As comunicações são atualmente o maior mercado de aplicações para FPGAs. As aplicações do FPGA no campo da comunicação incluem comutação de rede, conversão de protocolo de comunicação, controle de fluxo, etc. Ele pode ser usado para obter processamento de dados eficiente e retransmissão de radiofrequência em grande escala.Por exemplo, em5GNa tecnologia de comunicação, o FPGA é utilizado para processamento de sinais e dados de estações base, melhorando o desempenho e a estabilidade do sistema de comunicação.

O campo industrial é um dos principais mercados de aplicação de chips FPGA. FPGA é usado principalmente no campo industrial para obter funções de controle de sinal e aceleração de computação em processamento de vídeo, processamento de imagem, máquinas-ferramentas CNC e outros campos. Por exemplo, em linhas de produção automatizadas, FPGAs são usados ​​para controlar o movimento de braços robóticos e coordenar a operação de diversos equipamentos.

O data center é um dos mercados emergentes de aplicação para chips FPGA. No campo da computação e processamento de data centers, os chips FPGA são usados ​​principalmente para aceleração de hardware. Em comparação com CPUs, os chips FPGA podem fornecer poder de computação poderoso e flexibilidade suficiente ao mesmo tempo devido à sua arquitetura de memória compartilhada e sem instruções. Em comparação com GPUs, os chips FPGA têm as vantagens de baixa latência e alto rendimento em data centers; com ASIC, os chips FPGA podem alcançar um excelente equilíbrio em flexibilidade, tempo de desenvolvimento, etc.

Com a ascensão dos veículos elétricos,FPGAs são cada vez mais utilizados em automóveis. A demanda por FPGAs na indústria eletrônica automotiva vem principalmente de ADAS (sistemas de detecção inteligente) e AV (veículos autônomos). Por exemplo: chips FPGA podem ser usados ​​para controlar e acionar sistemas de controle de motores de veículos elétricos, conectar sistemas de direção, painéis, radares, sensores ultrassônicos e outros equipamentos de bordo para implementar processamento e controle de sinais, como radar a laser e radar de ondas milimétricas. No campo de ponte e fusão de vídeo, os chips FPGA podem ser usados ​​para implementar ponte de sinal de vários sensores de imagem, fusão de vídeo com visualização surround 3D, vídeo de assistência à reversão, vídeo de direção assistida e outras funções. Nas áreas de direção assistida e direção autônoma, os chips FPGA podem ser usados ​​para implementar diversas funções, como visão de máquina e detecção de alvos.

Na era da inteligência artificial, os algoritmos de IA estão constantemente inovando e têm altos requisitos de poder e flexibilidade de computação de hardware.A flexibilidade do FPGA se adapta perfeitamente às características da IA . FPGAs são usados ​​principalmente como aceleradores para algoritmos de multiplicação de matrizes eRedes neurais Atuador do acelerador. Pode alcançar computação de baixa latência e computação de alto desempenho, fornecendo suporte de hardware poderoso para o desenvolvimento de inteligência artificial. Por exemplo, no campo da aprendizagem profunda, o FPGA é utilizado para acelerar o processo de inferência e treinamento de redes neurais, melhorando a velocidade de processamento e a eficiência energética dos sistemas de inteligência artificial. Além disso, à medida que formas como a fusão de computação heterogênea se tornam cada vez mais populares, arquiteturas como FPGA + CPU também trazem mais vantagens potenciais para a IA.

03

Cenário de competição internacional de FPGA

O mercado global de FPGA é dominado principalmente pelo duopólio de duas empresas estrangeiras, Xilinx (adquirida pela AMD) e Intel. Em 2019, as duas empresas representavam juntas mais de 85% da participação no mercado global. Devido às altas barreiras técnicas e à rápida atualização, o mercado global de FPGA é altamente concentrado, com os fabricantes nacionais representando uma baixa proporção.

Os produtos AMD entraram na heterogeneidade complexa de 7 nm

A matriz de produtos relacionados ao FPGA da AMD (Xilinx) inclui principalmente: quatro grandes séries de produtos FPGA (VIRTEX, KINTEX, ARTIX, SPARTAN) e mais duas séries Adaptive SoC (AdaptiveSoC) altamente integradas (ZYNQ, VERSAL).

Comparação de séries de produtos de gama alta/média/baixa AMD (Xilinx) FPGA

Da perspectiva do processo de fabricação de chips, incluindo principalmente quatro categorias: UltraScale+ (16nm), UltraScale (20nm), série 7 (28nm) e série 6 (45nm VERSAL é uma atualização geral para o processo de 7nm).

Da perspectiva da arquitetura do chip, Os chips únicos FPGA estão se desenvolvendo em direção a processos mais avançados, estruturas de circuito de alta velocidade e sistemas SoC heterogêneos complexos. Os produtos da série VERSAL da AMD integram PCIe, protocolos de comunicação Ethernet, mecanismos de IA, arquitetura NoC, etc.

Do ponto de vista do preço do produto, à medida que o posicionamento do produto passa de low-end para high-end, indicadores como a capacidade do FPGA continuam a melhorar, a arquitetura de comunicação é gradualmente atualizada e o preço da placa de desenvolvimento correspondente aumenta gradualmente de algumas centenas de dólares para mais de 10.000 dólares.

Da perspectiva dos campos de aplicação, Os chips FPGA adequados para aplicações de ponta, como data centers, são, em sua maioria, linhas de produtos FPGA de ponta, e apenas um pequeno número de FPGAs de médio a alto nível também podem atender às necessidades de aceleração de rede dos data centers.

Intel: produtos de última geração foram enviados em grandes quantidades e séries de baixo consumo de energia serão lançadas em breve

Em março deste ano, a Intel tornou seu Grupo de Soluções Programáveis ​​(PSG) uma operação independente e o representou sob a imagem da marca Altera. A recém-criada Altera formulou uma nova estratégia de desenvolvimento, com o objetivo de consolidar e expandir sua posição de mercado, concentrando-se no mercado de FPGA de alto desempenho, enquanto explora ativamente o mercado de FPGA de médio a baixo custo para atrair uma base de clientes mais ampla e expandir o desenvolvimento. espaço.

Agilex FPGA e SoC FPGAA família é construída no nó de processo de 10 nm da Intel, que melhora o desempenho e reduz o consumo de energia.

Agilex9 FPGA e SoC FPGA Fornece recursos e otimizações sem precedentes para aplicações específicas, excedendo os limites da lógica programável convencional. Atualmente, a produção em grande escala foi alcançada. Com seus conversores de dados líderes do setor, este produto é especialmente adequado para aplicações que exigem processamento de FPGAs de sinais mistos de alta largura de banda.

Agilex7 FPGA e SoC FPGA É um FPGA de alto desempenho que fornece arquitetura e velocidade de E/S líderes do setor, tornando-o ideal para os aplicativos que exigem maior largura de banda e computação. O desempenho da estrutura lógica e a relação de consumo de energia do Intel Agilex 7 são aproximadamente 2 vezes maiores do que os FPGAs de nó de 7 nm dos concorrentes.

Agilex 7 - O que é Agilex? O dispositivo usa tecnologia avançada SuperFin de 10 nm (Série F e Série I), tecnologia Intel 7 (Série M) e arquitetura Intel Hyperflex FPGA de segunda geração. As áreas de aplicação deste produto incluem data centers, redes, defesa e indústria.

Ágilex 5 É um FPGA de médio porte otimizado para aplicações que exigem alto desempenho, baixo consumo de energia e tamanho pequeno. O produto agora está disponível em geral.

Intel Agilex 5 é o primeiro FPGA a suportar LPDDR4, LPDDR5 (+DDR4, DDR5), o primeiro produto equipado com blocos tensores AI e possui o primeiro sistema de processador de aplicativos multi-core assimétrico (2xArm A76, 2xArm A55), que pode melhorar o desempenho nos recursos de raciocínio do Edge AI. Sua série E foi otimizada para consumo de energia e tamanho Em comparação com produtos concorrentes de 16 nm, a relação desempenho/consumo de energia foi aumentada em 1,6 vezes; a taxa de consumo foi aumentada em 2 vezes.

Ágilex 3 Em breve, a família de produtos apresentará um formato menor, bem como otimizações de energia e custos. Este produto foi projetado para fornecer uma família líder de FPGA de baixo consumo de energia para funções de baixa complexidade em computação em nuvem, comunicações e aplicações de borda inteligentes.

Resumindo, a linha de produtos da Altera está se tornando cada vez mais completa, entre os quais o Agilex 9 está em fase de produção em larga escala, os equipamentos das séries F e I do Agilex 7 foram colocados em produção, o Agilex 5 foi totalmente lançado e o próximo Agilex 3 atenderá aos requisitos de computação em nuvem, comunicação e aplicativos de borda inteligentes que exigem FPGAs de baixa complexidade e baixo consumo de energia.

04

A batalha pelos produtos nacionais torna-se cada vez mais acirrada

Atualmente, a lacuna entre os fabricantes locais de FPGA e os líderes estrangeiros existe objetivamente e há espaço suficiente para recuperar o atraso.

A diferença entre fabricantes nacionais e estrangeiros

Primeiro, vamos dar uma olhada na lacuna atual entre os fabricantes nacionais e os fabricantes internacionais.

Da perspectiva da capacidade do FPGA, o nível mais alto atual de FPGA civil doméstico pode atingir cerca de 400 mil unidades lógicas, e a maior capacidade de FPGA do mundo atual é VP1902 (Versal Premium) lançado pela AMD em 27 de junho de 2023, com um número de unidades lógicas de até 18.507 mil, que é 46 vezes maior que a da China.

Do ponto de vista do processo de fabricação, o processo doméstico mais avançado é atualmente 14/16nm, e a Xilinx lançou o produto FPGA heterogêneo de 7nm Versal em 2018.

Do ponto de vista da renda , As principais empresas FPGA da China incluem Unisoc, Anlu Technology, Fudan Microelectronics, Gowin Semiconductor, Guowin Microelectronics, Yilingsi, Xi'an Zhiduojing, Jingwei Qili, etc. Como uma parte importante do mercado global de FPGA, a China representará cerca de 1/3 do mercado em 2022. No entanto, do lado da cadeia de abastecimento, a quota de mercado global de chips FPGA domésticos é inferior a 10%.

Da perspectiva dos aplicativos downstream, atualmente nenhum FPGA doméstico foi capaz de entrar nas duas áreas de FPGA mais sofisticadas - verificação de protótipo e computação acelerada de data center.

Da perspectiva da margem de lucro brutoAtualmente, os FPGAs nacionais estão focados na substituição de média e baixa capacidade na área de eletrônicos de consumo. Em comparação com o mercado de FPGA de grande capacidade, as barreiras de entrada são relativamente baixas e tem havido um certo grau de "involução". a margem de lucro bruto típica está entre 35% e 40%. Durante o período, a AMD tem excelentes vantagens competitivas no mercado de FPGA de ponta. Sua margem de lucro bruto permaneceu basicamente acima de 65% nos últimos 10 anos e tem estado próxima de. 70% nos últimos três anos.

Os fabricantes nacionais estão fazendo rápido progresso tecnológico

Embora a indústria chinesa de FPGA tenha começado tarde, ela se desenvolveu rapidamente nos últimos anos.

Impulsionadas pelo mercado e apoiadas por políticas nacionais, as empresas locais de FPGA continuaram a trabalhar arduamente e alcançaram resultados consideráveis ​​tanto em tecnologia como no mercado.

Atualmente, a tecnologia FPGA de baixa capacidade dos fabricantes chineses desenvolveu-se de forma relativamente madura.FPGA de baixa capacidade tem menos de 100k unidades lógicas e requer custo extremamente baixo e baixo consumo de energia. Ele está concentrado principalmente nos três nós de 55nm, 40nm e 28nm.

A maioria dos fabricantes nacionais lançou esses produtos em 2019 ou antes, e muitas vezes são produtos de primeira geração de fabricantes locais de FPGA. Por exemplo, a série Logos da Unisoc foi lançada em 2017 e é um FPGA de 40nm de baixo consumo e baixo custo com unidades lógicas entre 12-102k. O Eagle4 de 55nm da Anlu Technology foi lançado em 2016 com unidades lógicas de 20k, usado principalmente nas áreas de servo; controle e conversão de interface de imagem de alta velocidade; o FPGA LittleBee de 55nm da GOWIN Semiconductor foi lançado em 2016. É o produto de primeira geração da empresa, com número de unidades lógicas variando de 1 a 8k.

No mercado de baixa e média capacidade de 28 nm, os fabricantes chineses de FPGA já possuem produtos maduros. FPGA de média capacidade refere-se principalmente a FPGAs com unidades lógicas de 100k-500k. As principais aplicações estão concentradas no lado da interface aérea de comunicações sem fio, indústria, automóveis e campos de A&D. O desempenho e o consumo de energia são igualmente importantes e o custo também é afetado. Existem certos requisitos. Por exemplo, Unisoc, Anlu Technology e Zhiduoji lançaram produtos FPGA de 28 nm em 2020, principalmente comparando os produtos da série 7 da Xilinx.

Além disso, alguns fabricantes lançaram FPGAs de 22 nm para substituir alguns FPGAs de baixa e média capacidade de 28 nm. Por exemplo, o Aurora V lançado pela Gowin em setembro de 2022 é seu produto FPGA de 22 nm com uma contagem de unidades lógicas de 138k.

Atualmente, FPGAs de alta capacidade acima de 500K são difíceis de substituir por FPGAs domésticos. As empresas locais precisam desenvolver-se profundamente em termos de arquitetura de hardware, software EDA, desempenho IP, etc. Entre eles, o mais importante é resolver o problema de layout e roteamento do software EDA em FPGA de grande escala.

A receita doméstica de FPGA aumenta significativamente

Vejamos a receita dos fabricantes nacionais de FPGA.

A Anlu Technology é uma empresa líder no campo doméstico de FPGA. Em 2018 e 2019, o lucro operacional da Anlu Technology foi de apenas 28,5203 milhões de yuans e 122 milhões de yuans, respectivamente. Posteriormente, seu desempenho dobrou em 2021 e 2022.

De acordo com dados públicos, a receita comercial de FPGA da Anlu Technology em 2020 foi de 280 milhões de yuans e continuou a aumentar nos anos seguintes. Até 2021, a receita comercial de FPGA aumentará para 642 milhões de yuans, mais que dobrando ano a ano. Até 2022, esse número aumentará para 989 milhões de yuans, mostrando um forte impulso de crescimento do mercado.

A margem de lucro bruto da Anlu Technology também teve um bom desempenho. De 2020 a 2022, as suas margens de lucro bruto são de 34,05%, 34,18% e 39,13% respectivamente, mostrando uma tendência constante de aumento. Principalmente na área de negócios de FPGA, sua margem de lucro bruto chegará a 39,13% em 2022.

Como uma empresa nacional de design de IC bem estabelecida, a Fudan Micro também tem um bom desempenho no campo de FPGA. Nos últimos anos, a receita comercial de FPGA continuou a crescer. Em 2020, a receita comercial de FPGA da Fudan Micro foi de 204 milhões de yuans; em 2021, esse número aumentou para 427 milhões de yuans e em 2022 atingiu 781 milhões de yuans, mostrando a forte concorrência da empresa na força de mercado de FPGA;

A margem de lucro bruto da Fudan Micro também está em um nível relativamente alto. De 2020 a 2022, as margens de lucro bruto do seu negócio FPGA foram de 82,56%, 84,71% e 84,7%, respectivamente, mantendo alta estabilidade e lucratividade. Isto se deve principalmente à forte força técnica da empresa e ao layout completo da linha de produtos.

05

Os fabricantes nacionais de FPGA têm muitas oportunidades

Existem actualmente duas condições favoráveis ​​para a localização de FPGAs: Primeiro, os fabricantes nacionais de FPGA receberam quantidades relativamente grandes de subsídios governamentais nos últimos anos, reflectindo o forte apoio do país à localização de FPGAs. Em segundo lugar, o ciclo de vida de 28 nm é mais longo do que o das gerações anteriores, dando aos fabricantes nacionais amplo espaço para recuperar o atraso.

A julgar pelos dados divulgados, nos cinco anos de 2018 a 2022, os fabricantes nacionais de FPGA receberam dezenas de milhões ou mesmo centenas de milhões de subsídios governamentais todos os anos. Em 2022, os montantes dos subsídios governamentais incluídos nos lucros e perdas correntes da Anlu Technology, Fudan Micro e Ziguang Guowei são de mais de 30 milhões de RMB, mais de 60 milhões de RMB e 170 milhões de RMB, respetivamente. Loongson Zhongke, cujos principais produtos são CPUs, receberá subsídios governamentais de 190 milhões de yuans em 2022, Haiguang Information, cujos principais produtos são CPUs e DCUs, receberá mais de 60 milhões e Jingjiawei receberá mais de 30 milhões. De acordo com dados do WSTS, o tamanho do mercado de microprocessadores será de US$ 50,8 bilhões em 2022, o que é 6 vezes o tamanho do mercado de FPGA. Em comparação com outras categorias de empresas de chips digitais, o montante de subsídios governamentais recebidos pelas empresas de FPGA ainda é relativamente grande, reflectindo a forte vontade do país em apoiar FPGAs.

Além disso, como um chip digital típico, o ciclo de vida do FPGA é geralmente de apenas 10 a 15 anos (enquanto os chips analógicos podem durar mais de 20 anos, o pico de receita geralmente é encontrado nos 4 a 5 anos seguintes). lançamento, 60 anos devido às características de iteração rápida % da receita ocorreu nos primeiros 6 anos, seguido por um mercado em que tanto o volume quanto o preço caíram. Portanto, os intervenientes no mercado devem esforçar-se por competir pela liderança em processos, porque os fabricantes retardatários muitas vezes não conseguem obter receitas suficientes para apoiar o desenvolvimento de produtos da próxima geração. O ciclo de vida de 28 nm é mais longo do que o das gerações anteriores, o que dá aos fabricantes nacionais amplo espaço para se atualizarem.

No futuro, o mercado de chips FPGA continuará a manter um rápido crescimento. Com o desenvolvimento contínuo de 5G, Internet das Coisas, inteligência artificial e outras tecnologias, os chips FPGA desempenharão um papel importante em mais campos. Ao mesmo tempo, com o avanço contínuo da tecnologia doméstica de chips FPGA e o aumento gradual da participação de mercado, o mercado doméstico de chips FPGA também dará início a um espaço de desenvolvimento mais amplo.

De acordo com a previsão da Frost & Sullivan, o tamanho do mercado global de FPGA deverá exceder US$ 12,5 bilhões em 2025, e o tamanho do mercado doméstico aumentará de RMB 20,88 bilhões em 2022 para RMB 33,22 bilhões em 2025, com um CAGR de três anos de aproximadamente 17%.

Em geral, o mercado de chips FPGA é um mercado cheio de oportunidades e desafios. Com o avanço contínuo da tecnologia e a expansão contínua dos campos de aplicação, os chips FPGA desempenharão um papel importante em mais campos e promoverão o rápido desenvolvimento de indústrias relacionadas.