Belegung

Wo sind inländische FPGAs geblieben?

2024-07-24

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Mit der rasanten Entwicklung der Technologie der künstlichen Intelligenz (KI) erweitern sich ihre Anwendungsgrenzen immer weiter, von der einfachen Bilderkennung bis hin zur komplexen Verarbeitung natürlicher SpracheAutopilot, intelligente Fertigung und andere hochmoderne Bereiche – KI verändert unsere Welt in einem beispiellosen Tempo.

In dieser KI-Revolution fördert Deep Learning als zentrale treibende Kraft weiterhin die Innovation von Algorithmen und Modellen und stellt zudem strengere Anforderungen an Rechenressourcen.

Obwohl FPGA, das 1985 geboren wurde, schon lange nicht mehr existiert, hat es sich mit dem einzigartigen Vorteil der „Programmierbarkeit“ bereits einen Platz in der Welle der Chips erobert und ist zu einem weiteren starken Konkurrenten von GPU-Chips geworden.

01

Merkmale von FPGA

FPGA-Chips werden auf Basis programmierbarer Geräte (PAL, GAL, CPLD) entwickelt und sind teilweise kundenspezifische und programmierbare integrierte Schaltkreise. FPGA weist drei Hauptmerkmale auf:

Hohe Programmierbarkeit und Flexibilität

Ob CPU, GPU, DSP, Speicher oder verschiedene ASIC-Chips: Nach der Herstellung des Chips ist seine Funktion festgelegt und Benutzer können keine Änderungen an seinen Hardwarefunktionen vornehmen. Nach der Herstellung des FPGA-Chips sind seine Funktionen nicht festgelegt. Benutzer können die vom FPGA-Chiphersteller bereitgestellte spezielle EDA-Software verwenden, um die von ihnen entworfene Schaltung entsprechend ihren tatsächlichen Anforderungen funktional zu konfigurieren und so den leeren FPGA-Chip in einen FPGA-Chip umzuwandeln. Ein integrierter Schaltkreischip mit spezifischen Funktionen.

Jeder FPGA-Chip kann mit mehreren unterschiedlichen Funktionen konfiguriert werden, um unterschiedliche Funktionen zu erreichen, und ist äußerst flexibel.

Kurzer Entwicklungszyklus

Bei Logikchips umfasst der ASIC-Herstellungsprozess beispielsweise mehrere Schritte wie Logikimplementierung, Verdrahtungsverarbeitung und Tape-Out, während FPGA keine Verdrahtung, Maskierung und benutzerdefiniertes Tape-Out erfordert, was die Chipentwicklung erheblich vereinfacht. Der Entwicklungszyklus allgemeiner Logikchips wie ASIC, DSP, SOC usw. dauert 14 bis 24 Monate oder sogar länger, während FPGA nur 6 bis 12 Monate benötigt, was 55 % weniger Zeit als andere Chipentwicklungszyklen ist.

Genauso wie Xilinx, der weltweit größte FPGA-Hersteller, davon überzeugt ist, dass es wichtiger ist, schneller zu sein als billiger zu sein. Wenn ein Produkt sechs Monate später auf den Markt kommt, werden seine Gewinne in fünf Jahren um 33 % sinken Verlust von 14 % des Marktanteils.

Hohe parallele Recheneffizienz

FPGA gehört zum Parallelrechnen, das heißt, es kann mehrere Befehlsalgorithmen gleichzeitig ausführen. Herkömmliche ASICs, DSPs und CPUs sind alle seriell rechnerisch und können jeweils nur einen Befehlssatz verarbeiten. Daher ist bei einigen speziellen Aufgaben die parallele Recheneffizienz von FPGA höher als die serielle Recheneffizienz.

CPU, GPU, ASIC und FPGA sind vier Arten von Computerprozessoren, und unterschiedliche Prozessoren haben einzigartige Vorteile.

Vergleich zwischen FPGA und Mainstream-Chips

Die CPU verfügt über weniger logische Operationseinheiten (ALUs) und einen größeren Controller (Steuerung); die GPU verfügt über kleinere und mehr logische Operationseinheiten (ALUs), der Controller verfügt über einfache Funktionen und es gibt weniger Caches. Der Unterschied in der Architektur macht die CPU gut für Logiksteuerung und serielle Berechnungen, während die GPU gut für parallele Berechnungen mit hoher Intensität ist. Die Rechenleistung einer einzelnen Recheneinheit der GPU ist schwächer als die der ALU der CPU, aber eine große Anzahl von Recheneinheiten kann gleichzeitig arbeiten. Bei hochintensivem Parallelrechnen ist die Leistung besser die der CPU. Heutzutage werden GPUs neben der Bildverarbeitung zunehmend auch für andere Berechnungen eingesetzt.

Man kann sagen, dass die CPU eher ein hervorragender Anführer mit mehreren Funktionen ist. Sein Vorteil liegt in starken Planungs-, Verwaltungs- und Koordinationsfähigkeiten, gefolgt von Rechenleistung. Die GPU entspricht einem Mitarbeiter „mit viel Rechenleistung“, der die CPU-Planung akzeptiert.

ASIC steht für Application Specific Integrated Circuit und bezieht sich auf einen integrierten Schaltkreis, der als Reaktion auf spezifische Benutzeranforderungen und die Anforderungen spezifischer elektronischer Systeme entwickelt und hergestellt wird. Das Merkmal von ASIC besteht darin, dass es sich an den Bedürfnissen bestimmter Benutzer orientiert. Im Vergleich zu integrierten Schaltkreisen für allgemeine Zwecke bietet ASIC die Vorteile einer geringeren Größe, eines geringeren Stromverbrauchs, einer verbesserten Zuverlässigkeit, einer verbesserten Leistung, einer verbesserten Vertraulichkeit und geringerer Kosten im Massenbetrieb Produktion.

Die Entwicklung von Chips für künstliche Intelligenz auf ASIC-Basis ähnelt eher einem Schaltungsdesign, das wiederholte Optimierungen und einen langen Tape-Out-Zyklus erfordert, sodass der Entwicklungszyklus lang ist.

Nach der Massenproduktion werden die Kosten und der Preis von ASIC-Chips für künstliche Intelligenz niedriger sein. Obwohl ASIC-Chips für künstliche Intelligenz im Vergleich zu FPGA einen längeren Entwicklungszyklus durchlaufen müssen und teure Tape-Out-Investitionen erfordern, werden diese frühen Entwicklungsinvestitionen nach der Massenproduktion verwässert, sodass nach der Massenproduktion der ASIC-Chip für künstliche Intelligenz Die Kosten und der Preis wird niedriger sein als bei FPGA-Chips für künstliche Intelligenz.

Aufgrund seiner einzigartigen Architektur und der programmierbaren Eigenschaften des FPGA ist es möglich, im Vergleich zu anderen Prozessoren ein besseres Gleichgewicht zwischen Rechenleistung, Kosten und Stromverbrauch zu erreichen.

02

FPGA steht kurz vor der Explosion

FPGA bietet aufgrund seiner hohen Flexibilität bei der Programmierbarkeit, des kurzen Entwicklungszyklus und der hohen Effizienz des parallelen Rechnens ein breites Spektrum an Anwendungsszenarien.

Die Kommunikation ist derzeit der größte Anwendungsmarkt für FPGAs. Zu den Anwendungen von FPGA im Kommunikationsbereich gehören Netzwerkvermittlung, Kommunikationsprotokollkonvertierung, Flusskontrolle usw. Es kann verwendet werden, um eine effiziente Datenverarbeitung und eine groß angelegte Hochfrequenzübertragung zu erreichen.Zum Beispiel in5GIn der Kommunikationstechnik wird FPGA zur Signalverarbeitung und Datenverarbeitung von Basisstationen eingesetzt und verbessert so die Leistung und Stabilität des Kommunikationssystems.

Der Industriebereich ist einer der Hauptanwendungsmärkte für FPGA-Chips. FPGA wird hauptsächlich im industriellen Bereich verwendet, um Signalsteuerungs- und Rechenbeschleunigungsfunktionen in der Videoverarbeitung, Bildverarbeitung, CNC-Werkzeugmaschinen und anderen Bereichen zu erreichen. In automatisierten Produktionslinien werden FPGAs beispielsweise verwendet, um die Bewegung von Roboterarmen zu steuern und den Betrieb verschiedener Geräte zu koordinieren.

Rechenzentren sind einer der aufstrebenden Anwendungsmärkte für FPGA-Chips. Im Bereich der Datenverarbeitung und -verarbeitung in Rechenzentren werden FPGA-Chips hauptsächlich zur Hardwarebeschleunigung eingesetzt. Im Vergleich zu CPUs können FPGA-Chips aufgrund ihrer anweisungsfreien und gemeinsam genutzten Speicherarchitektur gleichzeitig leistungsstarke Rechenleistung und ausreichende Flexibilität bieten. Im Vergleich zu GPUs haben FPGA-Chips die Vorteile einer geringen Latenz und eines hohen Durchsatzes in Rechenzentren Mit ASIC können FPGA-Chips eine hervorragende Balance in Bezug auf Flexibilität, Entwicklungszeit usw. erreichen.

Mit dem Aufkommen von ElektrofahrzeugenFPGAs werden zunehmend in Automobilen eingesetzt. Die Nachfrage nach FPGAs in der Automobilelektronikindustrie kommt hauptsächlich von ADAS (intelligente Sensorsysteme) und AV (autonome Fahrzeuge). Beispielsweise können FPGA-Chips zur Steuerung und Ansteuerung von Motorsteuerungssystemen für Elektrofahrzeuge sowie zur Verbindung von Fahrsystemen, Armaturenbrettern, Radargeräten, Ultraschallsensoren und anderen Bordgeräten zur Implementierung von Signalverarbeitung und -steuerung wie Laserradar und Millimeterwellenradar verwendet werden. Im Bereich Video-Bridging und -Fusion können FPGA-Chips verwendet werden, um die Signalüberbrückung mehrerer Bildsensoren, 3D-Surround-View-Videofusion, Rückfahrassistenzvideo, unterstütztes Fahrvideo und andere Funktionen zu implementieren. In den Bereichen assistiertes Fahren und autonomes Fahren können mit FPGA-Chips verschiedene Funktionen wie maschinelles Sehen und Zielerkennung implementiert werden.

Im Zeitalter der künstlichen IntelligenzKI-Algorithmen entwickeln sich ständig weiter und stellen hohe Anforderungen an die Rechenleistung und Flexibilität der Hardware.Die Flexibilität von FPGA passt genau zu den Eigenschaften von KI . FPGAs werden hauptsächlich als Beschleuniger für Matrixmultiplikationsalgorithmen und verwendetNeuronale Netze Beschleunigeraktuator. Es kann Computing mit geringer Latenz und High-Performance-Computing ermöglichen und bietet leistungsstarke Hardwareunterstützung für die Entwicklung künstlicher Intelligenz. Im Bereich Deep Learning wird FPGA beispielsweise verwendet, um den Inferenz- und Trainingsprozess neuronaler Netze zu beschleunigen und so die Verarbeitungsgeschwindigkeit und Energieeffizienz von Systemen der künstlichen Intelligenz zu verbessern. Da Formen wie heterogene Computing-Fusion immer beliebter werden, bringen Architekturen wie FPGA+CPU auch mehr potenzielle Vorteile für die KI.

03

Internationale FPGA-Wettbewerbslandschaft

Der globale FPGA-Markt wird hauptsächlich vom Duopol der beiden ausländischen Unternehmen Xilinx (von AMD übernommen) und Intel dominiert. Im Jahr 2019 machten die beiden Unternehmen zusammen mehr als 85 % des Weltmarktanteils aus. Aufgrund hoher technischer Hürden und schneller Modernisierung ist der globale FPGA-Markt stark konzentriert, wobei inländische Hersteller nur einen geringen Anteil ausmachen.

AMD-Produkte sind in die komplexe 7-nm-Heterogenität eingetreten

Die FPGA-bezogene Produktmatrix von AMD (Xilinx) umfasst hauptsächlich: vier große FPGA-Produktserien (VIRTEX, KINTEX, ARTIX, SPARTAN) und zwei weitere hochintegrierte Adaptive SoC (AdaptiveSoC)-Serien (ZYNQ, VERSAL).

Vergleich der AMD (Xilinx) FPGA High-/Mid-/Low-End-Produktserien

Aus der Perspektive des Chipherstellungsprozesses, hauptsächlich bestehend aus vier Kategorien: UltraScale+ (16 nm), UltraScale (20 nm), 7er-Serie (28 nm) und 6er-Serie (45 nm). VERSAL ist ein Gesamtupgrade auf den 7-nm-Prozess.

Aus der Perspektive der ChiparchitekturFPGA-Einzelchips entwickeln sich zu fortschrittlicheren Prozessen, schnelleren Schaltungsstrukturen und komplexen heterogenen SoC-Systemen. Die Produkte der VERSAL-Serie von AMD integrieren PCIe, Ethernet-Kommunikationsprotokolle, KI-Engines, NoC-Architektur usw.

Aus Sicht des ProduktpreisesWährend sich die Produktpositionierung vom Low-End zum High-End verschiebt, verbessern sich Indikatoren wie die FPGA-Kapazität weiter, die Kommunikationsarchitektur wird schrittweise aktualisiert und der Preis des entsprechenden Entwicklungsboards steigt schrittweise von einigen hundert Dollar auf über 10.000 Dollar Dollar.

Aus Sicht der AnwendungsfelderFPGA-Chips, die für High-End-Anwendungen wie Rechenzentren geeignet sind, sind meist High-End-FPGA-Produktlinien, und nur eine kleine Anzahl von FPGAs der mittleren bis oberen Preisklasse kann auch die Netzwerkbeschleunigungsanforderungen von Rechenzentren erfüllen.

Intel: High-End-Produkte wurden in großen Stückzahlen ausgeliefert, und Low-Power-Serien werden bald auf den Markt kommen

Im März dieses Jahres machte Intel seine Programmable Solutions Group (PSG) zu einem unabhängigen Unternehmen und präsentierte es erneut unter dem Markenimage Altera. Das neu gegründete Altera hat eine neue Entwicklungsstrategie formuliert, die darauf abzielt, seine Marktposition zu festigen und auszubauen, indem es sich auf den Markt für Hochleistungs-FPGAs konzentriert und gleichzeitig den Markt für FPGAs im mittleren bis unteren Preissegment aktiv erkundet, um eine breitere Kundenbasis zu gewinnen und die Entwicklung auszuweiten Raum.

Agilex FPGA und SoC FPGADie Familie basiert auf dem 10-nm-Prozessknoten von Intel, der die Leistung verbessert und den Stromverbrauch senkt.

Agilex9 FPGA und SoC FPGA Bietet beispiellose Funktionen und Optimierungen für Zielanwendungen und überschreitet die Grenzen der gängigen programmierbaren Logik. Gegenwärtig wurde eine Massenproduktion erreicht. Mit seinen branchenführenden Datenkonvertern eignet sich dieses Produkt besonders für Anwendungen, die die Verarbeitung von Mixed-Signal-FPGAs mit hoher Bandbreite erfordern.

Agilex7 FPGA und SoC FPGA Es handelt sich um einen Hochleistungs-FPGA, der eine branchenführende Architektur und I/O-Geschwindigkeit bietet und sich somit ideal für die bandbreiten- und rechenintensivsten Anwendungen eignet. Das Verhältnis von Logikstrukturleistung und Stromverbrauch von Intel Agilex 7 ist etwa doppelt so hoch wie das der 7-nm-Knoten-FPGAs der Konkurrenz.

Agilex 7 Das Gerät nutzt fortschrittliche 10-nm-SuperFin-Technologie (F-Serie und I-Serie), Intel 7-Technologie (M-Serie) und die Intel Hyperflex FPGA-Architektur der zweiten Generation. Zu den Anwendungsbereichen dieses Produkts gehören Rechenzentren, Netzwerke, Verteidigung und Industrie.

Agilex 5 Es handelt sich um ein Mittelklasse-FPGA, das für Anwendungen optimiert ist, die hohe Leistung, geringen Stromverbrauch und geringe Größe erfordern. Das Produkt ist ab sofort allgemein verfügbar.

Intel Agilex 5 ist das erste FPGA, das LPDDR4, LPDDR5 (+DDR4, DDR5) unterstützt, das erste Produkt, das mit KI-Tensorblöcken ausgestattet ist, und verfügt über das erste asymmetrische Multi-Core-Anwendungsprozessorsystem (2xArm A76, 2xArm A55), das verbessert werden kann die Leistung der Edge AI-Argumentationsfähigkeiten. Im Vergleich zu 16-nm-Konkurrenzprodukten wurde das Verhältnis Leistung/Stromverbrauch um das 1,6-fache gesteigert, während die Leistung/Leistung der D-Serie im Vergleich zu 7-nm-Konkurrenzprodukten optimiert wurde Die Verbrauchsquote wurde um das Zweifache erhöht.

Agilex 3 Die bald erhältliche Produktfamilie zeichnet sich durch einen kleineren Formfaktor sowie Leistungs- und Kostenoptimierungen aus. Dieses Produkt wurde entwickelt, um eine führende FPGA-Familie mit geringem Stromverbrauch für Funktionen mit geringer Komplexität in den Bereichen Cloud Computing, Kommunikation und intelligente Edge-Anwendungen bereitzustellen.

Zusammenfassend lässt sich sagen, dass die Produktlinie von Altera immer vollständiger wird. Agilex 9 befindet sich in der Großproduktionsphase, die Ausrüstung der F-Serie und I-Serie von Agilex 7 wurde in Produktion genommen, Agilex 5 wurde vollständig auf den Markt gebracht und das kommende Agilex 3 wird den Anforderungen von Cloud Computing, Kommunikation und intelligenten Edge-Anwendungen gerecht und erfordert FPGAs mit geringer Komplexität und geringem Stromverbrauch.

04

Der Kampf um heimische Produkte wird immer härter

Gegenwärtig besteht objektiv gesehen eine Kluft zwischen lokalen FPGA-Herstellern und ausländischen Marktführern, und es besteht ausreichend Raum für Aufholbedarf.

Die Kluft zwischen inländischen und ausländischen Herstellern

Werfen wir zunächst einen Blick auf die aktuelle Kluft zwischen inländischen und internationalen Herstellern.

Aus Sicht der FPGA-KapazitätDie derzeit höchste Stufe inländischer ziviler FPGAs kann etwa 400.000 Logikeinheiten erreichen, und die derzeit weltweit größte FPGA-Kapazität ist VP1902 (Versal Premium), das am 27. Juni 2023 von AMD auf den Markt gebracht wurde, mit einer Anzahl von Logikeinheiten von bis zu 18.507.000. Das ist das 46-fache von China.

Aus Sicht des HerstellungsprozessesDer fortschrittlichste inländische Prozess ist derzeit 14/16 nm, und Xilinx veröffentlichte 2018 das heterogene 7-nm-FPGA-Produkt Versal.

Aus der Sicht des Einkommens Zu den größten FPGA-Unternehmen Chinas gehören Unisoc, Anlu Technology, Fudan Microelectronics, Gowin Semiconductor, Guowin Microelectronics, Yilingsi, Xi'an Zhiduojing, Jingwei Qili usw. Als wichtiger Teil des globalen FPGA-Marktes wird China im Jahr 2022 etwa 1/3 des Marktes ausmachen. Auf der Lieferkettenseite beträgt der globale Marktanteil inländischer FPGA-Chips jedoch weniger als 10 %.

Aus der Perspektive nachgelagerter AnwendungenDerzeit ist es keinem inländischen FPGA gelungen, in die beiden anspruchsvollsten FPGA-Bereiche vorzudringen – Prototypenverifizierung und Rechenzentrumsbeschleunigtes Computing.

Aus Sicht der BruttogewinnmargeDerzeit konzentrieren sich inländische FPGAs auf den Ersatz mittlerer und geringer Kapazitäten im Bereich der Unterhaltungselektronik. Im Vergleich zum FPGA-Markt mit großer Kapazität sind die Eintrittsbarrieren relativ niedrig und es hat ein gewisses Maß an „Involution“ stattgefunden Die typische Bruttogewinnmarge liegt zwischen 35 % und 40 %. AMD verfügt in diesem Zeitraum über herausragende Wettbewerbsvorteile im High-End-FPGA-Markt. Die Bruttogewinnmarge blieb in den letzten 10 Jahren im Wesentlichen bei über 65 % 70 % in den letzten drei Jahren.

Inländische Hersteller machen rasante technologische Fortschritte

Obwohl Chinas FPGA-Industrie spät begann, hat sie sich in den letzten Jahren rasant entwickelt.

Angetrieben vom Markt und unterstützt durch nationale Richtlinien haben lokale FPGA-Unternehmen weiterhin hart gearbeitet und sowohl in der Technologie als auch im Markt beachtliche Ergebnisse erzielt.

Derzeit ist die FPGA-Technologie mit geringer Kapazität chinesischer Hersteller relativ ausgereift.FPGAs mit geringer Kapazität verfügen über weniger als 100.000 Logikeinheiten und erfordern extrem niedrige Kosten und einen geringen Stromverbrauch. Sie konzentrieren sich hauptsächlich auf die drei Knoten 55 nm, 40 nm und 28 nm.

Die meisten inländischen Hersteller haben solche Produkte im Jahr 2019 oder früher auf den Markt gebracht, und es handelt sich häufig um Produkte der ersten Generation lokaler FPGA-Hersteller. Beispielsweise wurde die Logos-Serie von Unisoc im Jahr 2017 auf den Markt gebracht und ist ein 40-nm-FPGA mit geringem Stromverbrauch und Logikeinheiten zwischen 12 und 102.000. Der 55-nm-Eagle4 von Anlu Technology wurde 2016 mit 20.000 Logikeinheiten auf den Markt gebracht und hauptsächlich in den Bereichen Servo eingesetzt Steuerung und Hochgeschwindigkeits-Bildschnittstellenkonvertierung; der 55-nm-FPGA LittleBee von GOWIN Semiconductor wurde 2016 auf den Markt gebracht. Es ist das Produkt der ersten Generation mit einer Anzahl von Logikeinheiten zwischen 1 und 8.000.

Auf dem 28-nm-Markt mit niedriger und mittlerer Kapazität verfügen chinesische FPGA-Hersteller bereits über ausgereifte Produkte. FPGAs mit mittlerer Kapazität beziehen sich hauptsächlich auf FPGAs mit Logikeinheiten von 100.000 bis 500.000. Die Hauptanwendungen konzentrieren sich auf die Luftschnittstellenseite der drahtlosen Kommunikation, Industrie, Automobile und A&D-Bereiche. Der Markt mit mittlerer Kapazität strebt nicht nach der höchsten Leistung. Leistung und Stromverbrauch sind gleichermaßen wichtig, und auch die Kosten werden beeinflusst. Es gibt bestimmte Anforderungen. Beispielsweise haben Unisoc, Anlu Technology und Zhiduoji im Jahr 2020 alle 28-nm-FPGA-Produkte auf den Markt gebracht und dabei hauptsächlich die Produkte der 7er-Serie von Xilinx verglichen.

Darüber hinaus haben einige Hersteller 22-nm-FPGAs auf den Markt gebracht, um einige 28-nm-FPGAs mit niedriger und mittlerer Kapazität zu ersetzen. Beispielsweise ist das von Gowin im September 2022 auf den Markt gebrachte Aurora V ein 22-nm-FPGA-Produkt mit einer Anzahl von Logikeinheiten von 138.000.

FPGAs mit hoher Kapazität über 500 K lassen sich derzeit nur schwer durch inländische ersetzen. Lokale Unternehmen müssen sich in Bezug auf Hardwarearchitektur, EDA-Software, IP-Leistung usw. tiefgreifend weiterentwickeln. Das Wichtigste dabei ist die Lösung des Layout- und Routingproblems der EDA-Software in großen FPGAs.

Der inländische FPGA-Umsatz steigt deutlich

Schauen wir uns die Einnahmen der inländischen FPGA-Hersteller an.

Anlu Technology ist ein führendes Unternehmen im inländischen FPGA-Bereich. In den Jahren 2018 und 2019 betrug das Betriebsergebnis von Anlu Technology nur 28,5203 Millionen Yuan bzw. 122 Millionen Yuan. Später verdoppelte sich seine Leistung in den Jahren 2021 und 2022.

Öffentlichen Daten zufolge betrug der FPGA-Geschäftsumsatz von Anlu Technology im Jahr 2020 280 Millionen Yuan und stieg in den folgenden Jahren weiter an. Bis 2021 wird der Umsatz aus dem FPGA-Geschäft auf 642 Millionen Yuan steigen, was einer mehr als doppelten Verdoppelung im Vergleich zum Vorjahr entspricht. Bis 2022 wird diese Zahl auf 989 Millionen Yuan steigen, was eine starke Marktwachstumsdynamik zeigt.

Auch die Bruttogewinnmarge von Anlu Technology entwickelte sich gut. Von 2020 bis 2022 betragen die Bruttogewinnmargen 34,05 %, 34,18 % bzw. 39,13 %, was einen stetigen Aufwärtstrend zeigt. Insbesondere im FPGA-Geschäftsfeld wird die Bruttogewinnmarge im Jahr 2022 39,13 % erreichen.

Als etabliertes inländisches IC-Designunternehmen schneidet Fudan Micro auch im FPGA-Bereich gut ab. In den letzten Jahren ist der Umsatz im FPGA-Geschäft weiter gewachsen. Im Jahr 2020 betrug der FPGA-Geschäftsumsatz von Fudan Micro 204 Millionen Yuan; bis 2021 stieg dieser Wert auf 781 Millionen Yuan, was die starke Konkurrenz des Unternehmens auf dem FPGA-Markt zeigt.

Auch die Bruttogewinnmarge von Fudan Micro liegt auf einem relativ hohen Niveau. Von 2020 bis 2022 betrugen die Bruttogewinnmargen des FPGA-Geschäfts 82,56 %, 84,71 % bzw. 84,7 %, was eine hohe Stabilität und Rentabilität gewährleistet. Dies ist vor allem auf die starke technische Stärke und das umfassende Produktlinienlayout des Unternehmens zurückzuführen.

05

Inländische FPGA-Hersteller haben viele Möglichkeiten

Derzeit gibt es zwei günstige Voraussetzungen für die Lokalisierung von FPGAs: Erstens haben inländische FPGA-Hersteller in den letzten Jahren relativ hohe staatliche Subventionen erhalten, was die starke Unterstützung des Landes für die Lokalisierung von FPGAs widerspiegelt. Zweitens ist der 28-nm-Lebenszyklus länger als bei früheren Generationen, was einheimischen Herstellern ausreichend Spielraum zum Aufholen gibt.

Den offengelegten Daten zufolge erhielten inländische FPGA-Hersteller in den fünf Jahren von 2018 bis 2022 jedes Jahr zig Millionen oder sogar Hunderte Millionen staatlicher Subventionen. Im Jahr 2022 belaufen sich die in den laufenden Gewinnen und Verlusten enthaltenen staatlichen Subventionsbeträge für Anlu Technology, Fudan Micro und Ziguang Guowei auf über 30 Millionen RMB, über 60 Millionen RMB bzw. 170 Millionen RMB. Loongson Zhongke, dessen Hauptprodukte CPUs sind, wird im Jahr 2022 staatliche Subventionen in Höhe von 190 Millionen Yuan erhalten, Haiguang Information, dessen Hauptprodukte CPUs und DCUs sind, wird über 60 Millionen Yuan erhalten und Jingjiawei wird über 30 Millionen Yuan erhalten. Laut WSTS-Daten wird der Mikroprozessormarkt im Jahr 2022 50,8 Milliarden US-Dollar betragen, was dem Sechsfachen des FPGA-Marktes entspricht. Im Vergleich zu anderen Kategorien digitaler Chipunternehmen ist die Höhe der staatlichen Subventionen, die FPGA-Unternehmen erhalten, immer noch relativ hoch, was die starke Bereitschaft des Landes widerspiegelt, FPGAs zu unterstützen.

Darüber hinaus beträgt der Lebenszyklus von FPGAs als typischer digitaler Chip im Allgemeinen nur 10–15 Jahre (während analoge Chips bis zu mehr als 20 Jahre dauern können). Der Höhepunkt des Umsatzes liegt häufig in den 4–5 Jahren danach Einführung, 60 Jahre aufgrund der Merkmale der schnellen Iteration. % des Umsatzes fielen in den ersten 6 Jahren an, gefolgt von einem Markt, in dem sowohl Volumen als auch Preis sanken. Daher müssen Marktteilnehmer danach streben, um die Prozessführerschaft zu konkurrieren, da prozessrückständige Hersteller oft nicht genügend Einnahmen erzielen können, um die Entwicklung von Produkten der nächsten Generation zu unterstützen. Der 28-nm-Lebenszyklus ist länger als bei früheren Generationen, was einheimischen Herstellern ausreichend Spielraum zum Aufholen gibt.

Auch in Zukunft wird der FPGA-Chipmarkt weiterhin rasant wachsen. Mit der kontinuierlichen Entwicklung von 5G, Internet der Dinge, künstlicher Intelligenz und anderen Technologien werden FPGA-Chips in immer mehr Bereichen eine wichtige Rolle spielen. Gleichzeitig wird der inländische FPGA-Chip-Markt mit der kontinuierlichen Weiterentwicklung der inländischen FPGA-Chip-Technologie und der schrittweisen Erhöhung des Marktanteils auch einen breiteren Entwicklungsraum eröffnen.

Laut der Prognose von Frost & Sullivan wird die Größe des globalen FPGA-Marktes im Jahr 2025 voraussichtlich 12,5 Milliarden US-Dollar überschreiten, und die Größe des Inlandsmarkts wird von 20,88 Milliarden RMB im Jahr 2022 auf 33,22 Milliarden RMB im Jahr 2025 ansteigen, mit einer dreijährigen durchschnittlichen jährlichen Wachstumsrate von ca 17 %.

Generell ist der FPGA-Chipmarkt ein Markt voller Chancen und Herausforderungen. Mit der kontinuierlichen Weiterentwicklung der Technologie und der kontinuierlichen Erweiterung der Anwendungsfelder werden FPGA-Chips in immer mehr Bereichen eine wichtige Rolle spielen und die schnelle Entwicklung verwandter Branchen fördern.