noticias

¿A dónde se han ido los FPGA domésticos?

2024-07-24

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Con el rápido desarrollo de la tecnología de inteligencia artificial (IA), sus límites de aplicación continúan expandiéndose, desde el simple reconocimiento de imágenes hasta el procesamiento complejo del lenguaje natural, hastaPiloto automático, fabricación inteligente y otros campos de vanguardia, la IA está cambiando nuestro mundo a una velocidad sin precedentes.

En esta revolución de la IA, el aprendizaje profundo, como fuerza impulsora central, continúa promoviendo la innovación de algoritmos y modelos, y también plantea requisitos más estrictos para los recursos informáticos.

Aunque FPGA, que nació en 1985, no existe desde hace mucho tiempo, ya se ha ganado un lugar en la ola de chips con la ventaja única de la "programabilidad" y se ha convertido en otro fuerte rival de los chips GPU.

01

Características de FPGA

Los chips FPGA se desarrollan en base a dispositivos programables (PAL, GAL, CPLD) y son circuitos integrados semipersonalizados y programables. FPGA tiene tres características principales:

Alta programabilidad y flexibilidad

Ya sea CPU, GPU, DSP, memoria o varios chips ASIC, una vez fabricado el chip, su función se ha corregido y los usuarios no pueden realizar ninguna modificación en sus funciones de hardware. Una vez fabricado el chip FPGA, sus funciones no son fijas. Los usuarios pueden utilizar el software EDA dedicado proporcionado por la compañía de chips FPGA para configurar funcionalmente el circuito que diseñaron de acuerdo con sus necesidades reales, convirtiendo así el chip FPGA en blanco en un chip FPGA. Un chip de circuito integrado con funciones específicas.

Cada chip FPGA se puede configurar con múltiples funciones diferentes para lograr diferentes funciones y es muy flexible.

Ciclo de desarrollo corto

En los chips lógicos, por ejemplo, el proceso de fabricación de ASIC incluye múltiples pasos, como la implementación de la lógica, el procesamiento del cableado y el montaje en cinta; mientras que el FPGA no requiere cableado, enmascaramiento ni montaje en cinta personalizado, lo que simplifica enormemente el desarrollo del chip. El ciclo de desarrollo de chips lógicos generales, como ASIC, DSP, SOC, etc., demora entre 14 y 24 meses o incluso más, mientras que FPGA solo demora entre 6 y 12 meses, lo que es un 55% menos de tiempo que otros ciclos de desarrollo de chips.

Del mismo modo que Xilinx, el mayor fabricante de FPGA del mundo, cree que ser más rápido es más importante que ser más barato. Si un producto se lanza seis meses después, sus beneficios se reducirán un 33% en cinco años. Cuatro semanas cada noche equivalen a una. pérdida del 14% de la cuota de mercado.

Alta eficiencia de computación paralela

FPGA pertenece a la computación paralela, es decir, puede ejecutar múltiples algoritmos de instrucciones al mismo tiempo. Los ASIC, DSP y CPU tradicionales son todos computación en serie y solo pueden procesar un conjunto de instrucciones a la vez. Por lo tanto, en algunas tareas especiales, la eficiencia de computación en paralelo de FPGA es mayor que la eficiencia de computación en serie.

CPU, GPU, ASIC y FPGA son cuatro tipos de procesadores de computadora y diferentes procesadores tienen ventajas únicas.

Comparación entre FPGA y chips convencionales

La CPU tiene menos unidades de operación lógica (ALU) y un controlador más grande (control); la GPU tiene unidades de operación lógica (ALU) más pequeñas y más, el controlador tiene funciones simples y hay menos cachés. La diferencia en la arquitectura hace que la CPU sea buena para el control lógico y los cálculos en serie, mientras que la GPU es buena para los cálculos paralelos de alta intensidad. La potencia de procesamiento de una sola unidad informática de la GPU es más débil que la de la ALU de la CPU, pero una gran cantidad de unidades informáticas pueden funcionar al mismo tiempo. Cuando se enfrenta a una informática paralela de alta intensidad, su rendimiento es mejor que. el de la CPU. Hoy en día, además del procesamiento de imágenes, las GPU se utilizan cada vez más en otros cálculos.

Se puede decir que la CPU se parece más a un excelente líder con múltiples funciones. Su ventaja radica en sus sólidas capacidades de programación, gestión y coordinación, seguidas de su potencia informática. La GPU equivale a un empleado "con mucha potencia informática" que acepta la programación de la CPU.

ASIC significa Circuito Integrado de Aplicación Específica, que se refiere a un circuito integrado diseñado y fabricado en respuesta a los requisitos específicos del usuario y las necesidades de sistemas electrónicos específicos. La característica de ASIC es que está orientado a las necesidades de usuarios específicos. En comparación con los circuitos integrados de uso general, ASIC tiene las ventajas de un tamaño más pequeño, menor consumo de energía, confiabilidad mejorada, rendimiento mejorado, confidencialidad mejorada y costo reducido durante la masa. producción.

El desarrollo de chips de inteligencia artificial basados ​​en ASIC se parece más al diseño de circuitos, que requiere optimización repetida y un largo ciclo de grabación, por lo que el ciclo de desarrollo es largo.

Después de la producción en masa, el costo y el precio de los chips de inteligencia artificial ASIC serán menores. Aunque en comparación con FPGA, los chips de inteligencia artificial ASIC necesitan pasar por un ciclo de desarrollo más largo y requieren una costosa inversión en cinta, estas primeras inversiones en desarrollo se diluirán después de la producción en masa, por lo que después de la producción en masa, el chip de inteligencia artificial ASIC El costo y el precio Será inferior a los chips de inteligencia artificial FPGA.

Al beneficiarse de su arquitectura única, los atributos programables de FPGA le permiten lograr un mejor equilibrio entre potencia informática, costo y consumo de energía que otros procesadores.

02

FPGA está a punto de explotar

FPGA tiene una amplia gama de escenarios de aplicación debido a su alta flexibilidad de programación, ciclo de desarrollo corto y alta eficiencia de computación paralela.

Las comunicaciones son actualmente el mayor mercado de aplicaciones para FPGA. Las aplicaciones de FPGA en el campo de las comunicaciones incluyen conmutación de redes, conversión de protocolos de comunicación, control de flujo, etc. Se puede utilizar para lograr un procesamiento de datos eficiente y una retransmisión de radiofrecuencia a gran escala.Por ejemplo, en5GEn tecnología de comunicaciones, FPGA se utiliza para el procesamiento de señales y datos de estaciones base, mejorando el rendimiento y la estabilidad del sistema de comunicación.

El ámbito industrial es uno de los principales mercados de aplicación de los chips FPGA. FPGA se utiliza principalmente en el campo industrial para lograr funciones de control de señal y aceleración informática en procesamiento de video, procesamiento de imágenes, máquinas herramienta CNC y otros campos. Por ejemplo, en líneas de producción automatizadas, los FPGA se utilizan para controlar el movimiento de brazos robóticos y coordinar el funcionamiento de diversos equipos.

El centro de datos es uno de los mercados de aplicaciones emergentes para chips FPGA. En el campo de la informática y el procesamiento de centros de datos, los chips FPGA se utilizan principalmente para la aceleración de hardware. En comparación con las CPU, los chips FPGA pueden proporcionar una potencia informática potente y suficiente flexibilidad al mismo tiempo debido a su arquitectura de memoria compartida y sin instrucciones. En comparación con las GPU, los chips FPGA tienen las ventajas de baja latencia y alto rendimiento en los centros de datos; con ASIC, los chips FPGA pueden lograr un excelente equilibrio en flexibilidad, tiempo de desarrollo, etc.

Con el auge de los vehículos eléctricos,Los FPGA se utilizan cada vez más en automóviles. La demanda de FPGA en la industria de la electrónica automotriz proviene principalmente de ADAS (sistemas de detección inteligentes) y AV (vehículos autónomos). Por ejemplo: los chips FPGA se pueden utilizar para controlar y accionar sistemas de control de motores de vehículos eléctricos, conectar sistemas de conducción, paneles de control, radares, sensores ultrasónicos y otros equipos a bordo para implementar el procesamiento y control de señales, como radares láser y radares de ondas milimétricas. En el campo del puente y fusión de video, los chips FPGA se pueden usar para implementar puente de señal de múltiples sensores de imagen, fusión de video de vista envolvente 3D, video de asistencia de marcha atrás, video de conducción asistida y otras funciones. En los campos de la conducción asistida y la conducción autónoma, los chips FPGA se pueden utilizar para implementar diversas funciones, como la visión artificial y la detección de objetivos.

En la era de la inteligencia artificial, Los algoritmos de IA se innovan constantemente y tienen altos requisitos en cuanto a potencia y flexibilidad de computación del hardware.La flexibilidad de FPGA se ajusta perfectamente a las características de la IA . Los FPGA se utilizan principalmente como aceleradores para algoritmos de multiplicación de matrices yRedes neuronales Actuador del acelerador. Puede lograr computación de baja latencia y computación de alto rendimiento, proporcionando un potente soporte de hardware para el desarrollo de la inteligencia artificial. Por ejemplo, en el campo del aprendizaje profundo, la FPGA se utiliza para acelerar el proceso de inferencia y entrenamiento de redes neuronales, mejorando la velocidad de procesamiento y la eficiencia energética de los sistemas de inteligencia artificial. Además, a medida que formas como la fusión informática heterogénea se vuelven cada vez más populares, arquitecturas como FPGA+CPU también aportan más ventajas potenciales a la IA.

03

Panorama de la competencia internacional de FPGA

El mercado mundial de FPGA está dominado principalmente por el duopolio de dos empresas extranjeras, Xilinx (adquirida por AMD) e Intel. En 2019, las dos empresas juntas representaban más del 85% de la cuota de mercado mundial. Debido a las elevadas barreras técnicas y la rápida actualización, el mercado mundial de FPGA está muy concentrado y los fabricantes nacionales representan una proporción baja.

Los productos AMD han entrado en la heterogeneidad compleja de 7 nm

La matriz de productos relacionados con FPGA de AMD (Xilinx) incluye principalmente: cuatro series principales de productos FPGA (VIRTEX, KINTEX, ARTIX, SPARTAN) y dos series más de SoC adaptativos (AdaptiveSoC) altamente integradas (ZYNQ, VERSAL).

Comparación de series de productos AMD (Xilinx) FPGA de gama alta/media/baja

Desde la perspectiva del proceso de fabricación de chips., que incluye principalmente cuatro categorías: UltraScale+ (16 nm), UltraScale (20 nm), serie 7 (28 nm) y serie 6 (45 nm). VERSAL es una actualización general del proceso de 7 nm.

Desde la perspectiva de la arquitectura del chip., Los chips individuales FPGA se están desarrollando hacia procesos más avanzados, estructuras de circuitos de mayor velocidad y sistemas SoC heterogéneos y complejos. Los productos de la serie VERSAL de AMD integran PCIe, protocolos de comunicación Ethernet, motores de IA, arquitectura NoC, etc.

Desde el punto de vista del precio del producto.A medida que el posicionamiento del producto pasa de la gama baja a la alta, indicadores como la capacidad de FPGA continúan mejorando, la arquitectura de comunicación se actualiza gradualmente y el precio de la placa de desarrollo correspondiente aumenta gradualmente de unos pocos cientos de dólares a más de 10,000. dólares.

Desde la perspectiva de los campos de aplicación., Los chips FPGA adecuados para aplicaciones de alta gama, como centros de datos, son en su mayoría líneas de productos FPGA de alta gama, y ​​solo una pequeña cantidad de FPGA de gama media a alta también pueden satisfacer las necesidades de aceleración de red de los centros de datos.

Intel: se han enviado grandes cantidades de productos de alta gama y pronto se lanzarán series de bajo consumo

En marzo de este año, Intel convirtió su Programmable Solutions Group (PSG) en una operación independiente y lo volvió a presentar bajo la imagen de marca Altera. La recién creada Altera ha formulado una nueva estrategia de desarrollo, con el objetivo de consolidar y expandir su posición en el mercado enfocándose en el mercado de FPGA de alto rendimiento, mientras explora activamente el mercado de FPGA de gama media a baja para atraer una base de clientes más amplia y expandir el desarrollo. espacio.

FPGA y FPGA SoC de AgilexLa familia se basa en el nodo de proceso de 10 nm de Intel, que mejora el rendimiento y reduce el consumo de energía.

FPGA y FPGA SoC Agilex9 Proporciona capacidades y optimizaciones sin precedentes para aplicaciones de destino, superando los límites de la lógica programable convencional. En la actualidad, se ha logrado una producción a gran escala. Con sus convertidores de datos líderes en la industria, este producto es especialmente adecuado para aplicaciones que requieren procesamiento de FPGA de señal mixta de alto ancho de banda.

FPGA y FPGA SoC Agilex7 Es una FPGA de alto rendimiento que proporciona arquitectura y velocidad de E/S líderes en la industria, lo que la hace ideal para las aplicaciones con mayor uso intensivo de ancho de banda y computación. El rendimiento de la estructura lógica y la relación de consumo de energía de Intel Agilex 7 es aproximadamente 2 veces mayor que el de los FPGA de nodo de 7 nm de la competencia.

Agilex 7 El dispositivo utiliza tecnología avanzada SuperFin de 10 nm (Series F y I-Series), tecnología Intel 7 (M-Series) y arquitectura Intel Hyperflex FPGA de segunda generación. Las áreas de aplicación de este producto incluyen centros de datos, redes, defensa e industria.

Agilex 5 Es una FPGA de gama media optimizada para aplicaciones que requieren alto rendimiento, bajo consumo de energía y tamaño pequeño. El producto ya está disponible de forma generalizada.

Intel Agilex 5 es la primera FPGA que admite LPDDR4, LPDDR5 (+DDR4, DDR5), el primer producto equipado con bloques tensores de IA, y tiene el primer sistema de procesador de aplicaciones multinúcleo asimétrico (2xArm A76, 2xArm A55), que puede mejorar el rendimiento en las capacidades de razonamiento de Edge AI. Su serie E se ha optimizado en cuanto a consumo de energía y tamaño. En comparación con los productos de la competencia de 16 nm, la relación rendimiento/consumo de energía se ha incrementado 1,6 veces; la serie D se ha optimizado en cuanto a rendimiento/potencia. El ratio de consumo se ha incrementado 2 veces.

Agilex 3 Próximamente, la familia de productos presenta un factor de forma más pequeño, así como optimizaciones de energía y costos. Este producto está diseñado para proporcionar una familia líder de FPGA de bajo consumo para funciones de baja complejidad en computación en la nube, comunicaciones y aplicaciones perimetrales inteligentes.

En resumen, la línea de productos de Altera se está volviendo cada vez más completa, entre los cuales Agilex 9 se encuentra en la etapa de producción a gran escala, los equipos de las series F y I de Agilex 7 se han puesto en producción, Agilex 5 se ha lanzado por completo y el próximo Agilex 3 cumplirá con los requisitos de la computación en la nube, las aplicaciones de comunicación y de borde inteligentes requieren FPGA de baja complejidad y bajo consumo.

04

La batalla por los productos nacionales se vuelve cada vez más feroz

En la actualidad, la brecha entre los fabricantes locales de FPGA y los líderes extranjeros existe objetivamente y hay suficiente espacio para ponerse al día.

La brecha entre los fabricantes nacionales y extranjeros.

Primero, echemos un vistazo a la brecha actual entre los fabricantes nacionales y los fabricantes internacionales.

Desde la perspectiva de la capacidad FPGA, el nivel más alto actual de FPGA civil nacional puede alcanzar alrededor de 400k unidades lógicas, y la capacidad de FPGA más grande del mundo actual es VP1902 (Versal Premium) lanzado por AMD el 27 de junio de 2023, con una cantidad de unidades lógicas de hasta 18,507k. que es 46 veces el de China.

Desde la perspectiva del proceso de fabricaciónEl proceso interno más avanzado es actualmente de 14/16 nm, y Xilinx lanzó el producto FPGA heterogéneo Versal de 7 nm en 2018.

Desde la perspectiva de los ingresos , Las principales empresas de FPGA de China incluyen Unisoc, Anlu Technology, Fudan Microelectronics, Gowin Semiconductor, Guowin Microelectronics, Yilingsi, Xi'an Zhiduojing, Jingwei Qili, etc. Como parte importante del mercado global de FPGA, China representará aproximadamente 1/3 del mercado en 2022. Sin embargo, en el lado de la cadena de suministro, la participación de mercado global de los chips FPGA nacionales es inferior al 10%.

Desde la perspectiva de las aplicaciones posterioresActualmente, ninguna FPGA nacional ha podido ingresar a las dos áreas de FPGA de más alta gama: la verificación de prototipos y la computación acelerada del centro de datos.

Desde la perspectiva del margen de beneficio brutoEn la actualidad, los FPGA nacionales se centran en reemplazar los de capacidad media y baja en el campo de la electrónica de consumo. En comparación con el mercado de FPGA de gran capacidad, las barreras de entrada son relativamente bajas y ha habido un cierto grado de "involución". El margen de beneficio bruto típico está entre el 35% y el 40%. Durante el período, AMD tiene ventajas competitivas sobresalientes en el mercado de FPGA de alta gama. Su margen de beneficio bruto se ha mantenido básicamente por encima del 65% en los últimos 10 años, y ha estado cerca del 65%. 70% en los últimos tres años.

Los fabricantes nacionales están logrando rápidos avances tecnológicos.

Aunque la industria FPGA de China comenzó tarde, se ha desarrollado rápidamente en los últimos años.

Impulsadas por el mercado y respaldadas por políticas nacionales, las empresas locales de FPGA han seguido trabajando duro y han logrado resultados considerables tanto en tecnología como en mercado.

En la actualidad, la tecnología FPGA de baja capacidad de los fabricantes chinos se ha desarrollado de forma relativamente madura.La FPGA de baja capacidad tiene menos de 100k unidades lógicas y requiere un costo extremadamente bajo y un bajo consumo de energía. Se concentra principalmente en los tres nodos de 55 nm, 40 nm y 28 nm.

La mayoría de los fabricantes nacionales lanzaron este tipo de productos en 2019 o antes y, a menudo, son productos de primera generación de los fabricantes locales de FPGA. Por ejemplo, la serie Logos de Unisoc se lanzó en 2017 y es una FPGA de bajo costo y bajo consumo de 40 nm con unidades lógicas entre 12 y 102 k. Eagle4 de 55 nm de Anlu Technology se lanzó en 2016 con unidades lógicas de 20 k, utilizadas principalmente en los campos de servo; control y conversión de interfaz de imagen de alta velocidad; el FPGA LittleBee de 55 nm de GOWIN Semiconductor se lanzó en 2016. Es el producto de primera generación de la compañía, con un número de unidades lógicas que oscilan entre 1 y 8 k.

En el mercado de 28 nm de baja y media capacidad, los fabricantes chinos de FPGA ya tienen productos maduros. FPGA de capacidad media se refiere principalmente a FPGA con unidades lógicas de 100k-500k. Las principales aplicaciones se concentran en el lado de la interfaz aérea de los campos de comunicaciones inalámbricas, industria, automóviles y A&D. El rendimiento y el consumo de energía son igualmente importantes y el coste también se ve afectado. Existen ciertos requisitos. Por ejemplo, Unisoc, Anlu Technology y Zhiduoji lanzaron productos FPGA de 28 nm en 2020, principalmente comparando los productos de la serie 7 de Xilinx.

Además, algunos fabricantes han lanzado FPGA de 22 nm para reemplazar algunos FPGA de baja y media capacidad de 28 nm. Por ejemplo, Aurora V lanzada por Gowin en septiembre de 2022 es su producto FPGA de 22 nm con un número de unidades lógicas de 138 k.

Actualmente, los FPGA de alta capacidad por encima de 500K son difíciles de reemplazar por otros domésticos. Las empresas locales necesitan desarrollarse en profundidad en términos de arquitectura de hardware, software EDA, rendimiento IP, etc. Entre ellos, el más importante es resolver el problema de diseño y enrutamiento del software EDA en FPGA a gran escala.

Los ingresos nacionales de FPGA aumentan significativamente

Veamos los ingresos de los fabricantes nacionales de FPGA.

Anlu Technology es una empresa líder en el campo nacional de FPGA. En 2018 y 2019, los ingresos operativos de Anlu Technology fueron de solo 28,5203 millones de yuanes y 122 millones de yuanes, respectivamente. Posteriormente, su rendimiento se duplicó en 2021 y 2022.

Según datos públicos, los ingresos comerciales de FPGA de Anlu Technology en 2020 fueron de 280 millones de yuanes y continuaron aumentando en los años siguientes. Para 2021, sus ingresos comerciales de FPGA aumentarán a 642 millones de yuanes, más del doble que el año anterior. Para 2022, esta cifra aumentará a 989 millones de yuanes, lo que muestra un fuerte impulso de crecimiento del mercado.

El margen de beneficio bruto de Anlu Technology también tuvo un buen desempeño. De 2020 a 2022, sus márgenes de beneficio bruto son del 34,05%, 34,18% y 39,13% respectivamente, mostrando una tendencia ascendente constante. Especialmente en el campo empresarial de FPGA, su margen de beneficio bruto alcanzará el 39,13% en 2022.

Como empresa nacional de diseño de circuitos integrados bien establecida, Fudan Micro también se desempeña bien en el campo de FPGA. En los últimos años, los ingresos de su negocio FPGA han seguido creciendo. En 2020, los ingresos del negocio de FPGA de Fudan Micro fueron de 204 millones de yuanes; en 2021, esta cifra aumentó a 427 millones de yuanes y en 2022 alcanzó los 781 millones de yuanes, lo que demuestra la fuerte competencia de la empresa en el mercado de FPGA.

El margen de beneficio bruto de Fudan Micro también se encuentra en un nivel relativamente alto. De 2020 a 2022, los márgenes de beneficio bruto de su negocio FPGA fueron del 82,56%, 84,71% y 84,7% respectivamente, manteniendo una alta estabilidad y rentabilidad. Esto se debe principalmente a la sólida solidez técnica de la empresa y al completo diseño de su línea de productos.

05

Los fabricantes nacionales de FPGA tienen muchas oportunidades

Actualmente existen dos condiciones favorables para la localización de FPGA: primero, los fabricantes nacionales de FPGA han recibido cantidades relativamente grandes de subsidios gubernamentales en los últimos años, lo que refleja el fuerte apoyo del país a la localización de FPGA. En segundo lugar, el ciclo de vida de 28 nm es más largo que el de las generaciones anteriores, lo que da a los fabricantes nacionales un amplio margen para ponerse al día.

A juzgar por los datos revelados, en los cinco años comprendidos entre 2018 y 2022, los fabricantes nacionales de FPGA recibieron decenas de millones o incluso cientos de millones de subsidios gubernamentales cada año. En 2022, los montos de los subsidios gubernamentales incluidos en las ganancias y pérdidas actuales de Anlu Technology, Fudan Micro y Ziguang Guowei son de más de 30 millones de RMB, más de 60 millones de RMB y 170 millones de RMB, respectivamente. Loongson Zhongke, cuyos principales productos son las CPU, recibirá subsidios gubernamentales de 190 millones de yuanes en 2022, Haiguang Information, cuyos principales productos son las CPU y DCU, recibirá más de 60 millones y Jingjiawei recibirá más de 30 millones. Según datos de WSTS, el tamaño del mercado de microprocesadores será de 50.800 millones de dólares en 2022, seis veces el tamaño del mercado de FPGA. En comparación con otras categorías de empresas de chips digitales, la cantidad de subsidios gubernamentales que reciben las empresas de FPGA sigue siendo relativamente grande, lo que refleja la fuerte voluntad del país de apoyar las FPGA.

Además, como chip digital típico, el ciclo de vida de FPGA es generalmente de solo 10 a 15 años (mientras que los chips analógicos pueden durar hasta más de 20 años). El pico de ingresos a menudo se encuentra entre los 4 y 5 años posteriores. lanzamiento, 60 años debido a las características de iteración rápida. El % de los ingresos se produjo en los primeros 6 años, seguido de un mercado en el que tanto el volumen como el precio cayeron. Por lo tanto, los actores del mercado deben esforzarse por competir por el liderazgo de los procesos, porque los fabricantes rezagados en los procesos a menudo no pueden obtener suficientes ingresos para respaldar el desarrollo de productos de próxima generación. El ciclo de vida de 28 nm es más largo que el de las generaciones anteriores, lo que da a los fabricantes nacionales un amplio margen para ponerse al día.

En el futuro, el mercado de chips FPGA seguirá manteniendo un rápido crecimiento. Con el desarrollo continuo de 5G, Internet de las cosas, inteligencia artificial y otras tecnologías, los chips FPGA desempeñarán un papel importante en más campos. Al mismo tiempo, con el avance continuo de la tecnología de chips FPGA nacional y el aumento gradual de la participación de mercado, el mercado nacional de chips FPGA también marcará el comienzo de un espacio de desarrollo más amplio.

Según el pronóstico de Frost & Sullivan, se espera que el tamaño del mercado global de FPGA supere los 12,5 mil millones de dólares estadounidenses en 2025, y el tamaño del mercado interno aumentará de 20,88 mil millones de RMB en 2022 a 33,22 mil millones de RMB en 2025, con una CAGR de tres años de aproximadamente 17%.

En general, el mercado de chips FPGA es un mercado lleno de oportunidades y desafíos. Con el avance continuo de la tecnología y la expansión continua de los campos de aplicación, los chips FPGA desempeñarán un papel importante en más campos y promoverán el rápido desarrollo de industrias relacionadas.