notizia

Dove sono finiti gli FPGA domestici?

2024-07-24

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Con il rapido sviluppo della tecnologia dell’intelligenza artificiale (AI), i suoi confini applicativi continuano ad espandersi, dal semplice riconoscimento delle immagini alla complessa elaborazione del linguaggio naturale, fino allaAutopilota, produzione intelligente e altri settori all’avanguardia, l’intelligenza artificiale sta cambiando il nostro mondo a una velocità senza precedenti.

In questa rivoluzione dell’intelligenza artificiale, l’apprendimento profondo, come forza trainante principale, continua a promuovere l’innovazione di algoritmi e modelli e propone anche requisiti più rigorosi per le risorse informatiche.

Anche se l'FPGA, nato nel 1985, non esiste da molto tempo, ha già conquistato un posto nell'ondata di chip con il vantaggio unico della "programmabilità" ed è diventato un altro forte rivale dei chip GPU.

01

Caratteristiche dell'FPGA

I chip FPGA sono sviluppati sulla base di dispositivi programmabili (PAL, GAL, CPLD) e sono circuiti integrati semi-personalizzati e programmabili. L'FPGA ha tre caratteristiche principali:

Elevata programmabilità e flessibilità

Che si tratti di CPU, GPU, DSP, memoria o vari chip ASIC, dopo che il chip è stato prodotto, la sua funzione è stata corretta e gli utenti non possono apportare alcuna modifica alle sue funzioni hardware. Dopo che il chip FPGA è stato prodotto, le sue funzioni non sono fisse. Gli utenti possono utilizzare il software EDA dedicato fornito dall'azienda produttrice di chip FPGA per configurare funzionalmente il circuito progettato in base alle loro effettive esigenze, convertendo così il chip FPGA vuoto in un chip FPGA. Un chip di circuito integrato con funzioni specifiche.

Ogni chip FPGA può essere configurato con molteplici funzioni diverse per ottenere funzioni diverse ed è altamente flessibile.

Ciclo di sviluppo breve

Nei chip logici, ad esempio, il processo di produzione dell'ASIC comprende più passaggi come l'implementazione della logica, l'elaborazione del cablaggio e l'estrazione del nastro, mentre l'FPGA non richiede cablaggio, mascheramento e installazione del nastro personalizzata, il che semplifica notevolmente lo sviluppo del chip. Il ciclo di sviluppo di chip logici generali, come ASIC, DSP, SOC, ecc., richiede 14-24 mesi o anche di più, mentre FPGA richiede solo 6-12 mesi, ovvero il 55% in meno di tempo rispetto ad altri cicli di sviluppo di chip.

Proprio come Xilinx, il più grande produttore mondiale di FPGA, ritiene che essere più veloci sia più importante che essere più economici. Se un prodotto viene lanciato sei mesi dopo, i suoi profitti si ridurranno del 33% in cinque anni. Quattro settimane ogni notte equivalgono a un perdita del 14% della quota di mercato.

Elevata efficienza di calcolo parallelo

L'FPGA appartiene al calcolo parallelo, ovvero può eseguire più algoritmi di istruzioni contemporaneamente. Gli ASIC, i DSP e le CPU tradizionali sono tutti calcoli seriali e possono elaborare solo un set di istruzioni alla volta. Pertanto, in alcuni compiti speciali, l'efficienza del calcolo parallelo dell'FPGA è superiore all'efficienza del calcolo seriale.

CPU, GPU, ASIC e FPGA sono quattro tipi di processori per computer e processori diversi presentano vantaggi unici.

Confronto tra FPGA e chip tradizionali

La CPU ha meno unità operative logiche (ALU) e un controller (controllo) più grande; la GPU ha unità operative logiche (ALU) più piccole e più piccole, il controller ha funzioni semplici e ci sono meno cache. La differenza nell'architettura rende la CPU brava nel controllo logico e nei calcoli seriali, mentre la GPU è brava nei calcoli paralleli ad alta intensità. La potenza di elaborazione di una singola unità di calcolo della GPU è inferiore a quella dell'ALU della CPU, ma un gran numero di unità di calcolo possono funzionare contemporaneamente. Di fronte al calcolo parallelo ad alta intensità, le sue prestazioni sono migliori quello della CPU. Al giorno d'oggi, oltre all'elaborazione delle immagini, le GPU vengono sempre più utilizzate in altri calcoli.

Si può dire che la CPU è più simile ad un ottimo leader con molteplici funzioni. Il suo vantaggio risiede nelle forti capacità di pianificazione, gestione e coordinamento, seguite dalla potenza di calcolo. La GPU equivale a un dipendente "con molta potenza di calcolo" che accetta la pianificazione della CPU.

ASIC è l'acronimo di Application Specific Integrated Circuit, che si riferisce a un circuito integrato progettato e realizzato in risposta a specifici requisiti dell'utente e alle esigenze di specifici sistemi elettronici. La caratteristica dell'ASIC è che è orientato alle esigenze di utenti specifici Rispetto ai circuiti integrati per uso generale, l'ASIC presenta i vantaggi di dimensioni più piccole, consumo energetico inferiore, maggiore affidabilità, prestazioni migliorate, maggiore riservatezza e costi ridotti durante la messa. produzione.

Lo sviluppo di chip di intelligenza artificiale basati su ASIC è più simile alla progettazione di circuiti, che richiede ottimizzazioni ripetute e un lungo ciclo di tape-out, quindi il ciclo di sviluppo è lungo.

Dopo la produzione di massa, il costo e il prezzo dei chip di intelligenza artificiale ASIC saranno inferiori. Sebbene rispetto all'FPGA, i chip di intelligenza artificiale ASIC debbano passare attraverso un ciclo di sviluppo più lungo e richiedano costosi investimenti di tape-out, questi primi investimenti di sviluppo verranno diluiti dopo la produzione di massa, quindi dopo la produzione di massa, il chip di intelligenza artificiale ASIC Il costo e il prezzo sarà inferiore ai chip di intelligenza artificiale FPGA.

Beneficiando della sua architettura unica, gli attributi programmabili dell'FPGA gli consentono di raggiungere un migliore equilibrio tra potenza di calcolo, costo e consumo energetico rispetto ad altri processori.

02

L'FPGA sta per esplodere

L'FPGA ha un'ampia gamma di scenari applicativi grazie alla sua elevata flessibilità di programmabilità, al breve ciclo di sviluppo e all'elevata efficienza del calcolo parallelo.

Le comunicazioni rappresentano attualmente il più grande mercato applicativo per gli FPGA. Le applicazioni dell'FPGA nel campo delle comunicazioni includono la commutazione di rete, la conversione del protocollo di comunicazione, il controllo del flusso, ecc. Può essere utilizzato per ottenere un'elaborazione efficiente dei dati e un relè a radiofrequenza su larga scala.Ad esempio, nel5GNella tecnologia delle comunicazioni, l'FPGA viene utilizzato per l'elaborazione dei segnali e dei dati delle stazioni base, migliorando le prestazioni e la stabilità del sistema di comunicazione.

Il settore industriale è uno dei principali mercati di applicazione dei chip FPGA. L'FPGA viene utilizzato principalmente in campo industriale per ottenere funzioni di controllo del segnale e di accelerazione del calcolo nell'elaborazione video, nell'elaborazione delle immagini, nelle macchine utensili CNC e in altri campi. Ad esempio, nelle linee di produzione automatizzate, gli FPGA vengono utilizzati per controllare il movimento dei bracci robotici e coordinare il funzionamento di varie apparecchiature.

Il data center è uno dei mercati applicativi emergenti per i chip FPGA. Nel campo del calcolo e dell'elaborazione dei data center, i chip FPGA vengono utilizzati principalmente per l'accelerazione hardware. Rispetto alle CPU, i chip FPGA possono fornire una potente potenza di calcolo e allo stesso tempo sufficiente flessibilità grazie alla loro architettura priva di istruzioni e di memoria condivisa. Rispetto alle GPU, i chip FPGA presentano i vantaggi di bassa latenza e throughput elevato nei data center con ASIC, i chip FPGA possono raggiungere un eccellente equilibrio tra flessibilità, tempo di sviluppo, ecc.

Con l’avvento dei veicoli elettrici,Gli FPGA sono sempre più utilizzati nelle automobili. La domanda di FPGA nel settore dell’elettronica automobilistica proviene principalmente da ADAS (sistemi di rilevamento intelligente) e AV (veicoli autonomi). Ad esempio: i chip FPGA possono essere utilizzati per controllare e azionare sistemi di controllo del motore di veicoli elettrici, collegare sistemi di guida, cruscotti, radar, sensori a ultrasuoni e altre apparecchiature di bordo per implementare l'elaborazione e il controllo del segnale come radar laser e radar a onde millimetriche. Nel campo del bridging e della fusione video, i chip FPGA possono essere utilizzati per implementare il bridging del segnale di più sensori di immagine, fusione video con vista surround 3D, video di assistenza alla retromarcia, video di guida assistita e altre funzioni. Nei campi della guida assistita e della guida autonoma, i chip FPGA possono essere utilizzati per implementare varie funzioni come la visione artificiale e il rilevamento del bersaglio.

Nell’era dell’intelligenza artificiale, gli algoritmi di intelligenza artificiale sono costantemente innovativi e hanno requisiti elevati in termini di potenza di calcolo e flessibilità dell'hardware.La flessibilità dell'FPGA si adatta perfettamente alle caratteristiche dell'intelligenza artificiale . Gli FPGA vengono utilizzati principalmente come acceleratori per algoritmi di moltiplicazione di matrici eReti neurali Attuatore dell'acceleratore. Può ottenere calcoli a bassa latenza e calcoli ad alte prestazioni, fornendo un potente supporto hardware per lo sviluppo dell'intelligenza artificiale. Ad esempio, nel campo del deep learning, l’FPGA viene utilizzato per accelerare il processo di inferenza e addestramento delle reti neurali, migliorando la velocità di elaborazione e l’efficienza energetica dei sistemi di intelligenza artificiale. Inoltre, poiché forme come la fusione informatica eterogenea diventano sempre più popolari, anche architetture come FPGA+CPU apportano maggiori vantaggi potenziali all’intelligenza artificiale.

03

Panorama competitivo internazionale FPGA

Il mercato globale degli FPGA è dominato principalmente dal duopolio di due società estere, Xilinx (acquisita da AMD) e Intel. Nel 2019, le due società rappresentavano insieme oltre l’85% della quota di mercato globale. A causa delle elevate barriere tecniche e del rapido aggiornamento, il mercato globale degli FPGA è altamente concentrato, con i produttori nazionali che rappresentano una percentuale bassa.

I prodotti AMD sono entrati nell'eterogeneità complessa di 7 nm

La matrice di prodotti AMD (Xilinx) relativa agli FPGA comprende principalmente: quattro principali serie di prodotti FPGA (VIRTEX, KINTEX, ARTIX, SPARTAN) e altre due serie Adaptive SoC (AdaptiveSoC) altamente integrate (ZYNQ, VERSAL).

Confronto tra serie di prodotti di fascia alta/media/bassa FPGA AMD (Xilinx).

Dal punto di vista del processo di produzione dei chip, che comprende principalmente quattro categorie: UltraScale+ (16 nm), UltraScale (20 nm), serie 7 (28 nm) e serie 6 (45 nm). VERSAL è un aggiornamento generale al processo a 7 nm.

Dal punto di vista dell'architettura del chip, i singoli chip FPGA si stanno sviluppando verso processi più avanzati, strutture circuitali a velocità più elevata e sistemi SoC complessi ed eterogenei. I prodotti della serie VERSAL di AMD integrano PCIe, protocolli di comunicazione Ethernet, motori AI, architettura NoC, ecc.

Dal punto di vista del prezzo del prodotto, man mano che il posizionamento del prodotto si sposta dalla fascia bassa a quella alta, indicatori come la capacità FPGA continuano a migliorare, l'architettura di comunicazione viene gradualmente aggiornata e il prezzo della scheda di sviluppo corrispondente aumenta gradualmente da poche centinaia di dollari a più di 10.000 dollari.

Dal punto di vista dei campi di applicazione, i chip FPGA adatti per applicazioni di fascia alta come i data center sono per lo più linee di prodotti FPGA di fascia alta e solo un piccolo numero di FPGA di fascia medio-alta può anche soddisfare le esigenze di accelerazione di rete dei data center.

Intel: i prodotti di fascia alta sono stati spediti in grandi quantità e presto verranno lanciate le serie a basso consumo

Nel marzo di quest'anno, Intel ha reso il suo Programmable Solutions Group (PSG) un'operazione indipendente e lo ha riproposto con l'immagine del marchio Altera. La neonata Altera ha formulato una nuova strategia di sviluppo, con l'obiettivo di consolidare ed espandere la propria posizione di mercato concentrandosi sul mercato FPGA ad alte prestazioni, esplorando allo stesso tempo attivamente il mercato FPGA di fascia medio-bassa per attirare una base di clienti più ampia ed espandere lo sviluppo spazio.

Agilex FPGA e SoC FPGALa famiglia è basata sul processo produttivo Intel a 10 nm, che migliora le prestazioni e riduce il consumo energetico.

Agilex9 FPGA e SoC FPGA Fornisce funzionalità e ottimizzazioni senza precedenti per le applicazioni target, superando i limiti della logica programmabile tradizionale. Allo stato attuale, è stata raggiunta la produzione su larga scala. Grazie ai suoi convertitori dati leader del settore, questo prodotto è particolarmente adatto per applicazioni che richiedono l'elaborazione di FPGA a segnale misto con larghezza di banda elevata.

Agilex7 FPGA e SoC FPGA Si tratta di un FPGA ad alte prestazioni che fornisce architettura leader del settore e velocità I/O, rendendolo ideale per le applicazioni ad uso intensivo di larghezza di banda e di calcolo. Il rapporto tra prestazioni della struttura logica e consumo energetico di Intel Agilex 7 è circa 2 volte superiore a quello degli FPGA con nodo a 7 nm della concorrenza.

Agilex 7 Il dispositivo utilizza la tecnologia avanzata SuperFin da 10 nm (serie F e serie I), la tecnologia Intel 7 (serie M) e l'architettura Intel Hyperflex FPGA di seconda generazione. Le aree di applicazione di questo prodotto includono data center, reti, difesa e industria.

Agilex 5 Si tratta di un FPGA di fascia media ottimizzato per applicazioni che richiedono prestazioni elevate, basso consumo energetico e dimensioni ridotte. Il prodotto è ora generalmente disponibile.

Intel Agilex 5 è il primo FPGA a supportare LPDDR4, LPDDR5 (+DDR4, DDR5), il primo prodotto dotato di blocchi tensore AI e dispone del primo sistema di processore applicativo multi-core asimmetrico (2xArm A76, 2xArm A55), in grado di migliorare le prestazioni nelle capacità di ragionamento di Edge AI. La serie E è stata ottimizzata per il consumo energetico e le dimensioni. Rispetto ai prodotti concorrenti a 16 nm, il rapporto prestazioni/consumo energetico è stato aumentato di 1,6 volte; la serie D è stata ottimizzata per le prestazioni il rapporto di consumo è stato aumentato di 2 volte.

Agilet 3 Prossimamente, la famiglia di prodotti presenta un fattore di forma più piccolo e ottimizzazioni in termini di potenza e costi. Questo prodotto è progettato per fornire una famiglia leader di FPGA a basso consumo per funzioni a bassa complessità nel cloud computing, nelle comunicazioni e nelle applicazioni edge intelligenti.

Per riassumere, la linea di prodotti Altera sta diventando sempre più completa, tra cui Agilex 9 è in fase di produzione su larga scala, le apparecchiature Agilex 7 serie F e serie I sono state messe in produzione, Agilex 5 è stato lanciato completamente e l'imminente Agilex 3 soddisferà i requisiti del cloud computing, delle comunicazioni e delle applicazioni edge intelligenti che richiedono FPGA a bassa complessità e a basso consumo.

04

La battaglia per i prodotti nazionali diventa sempre più accanita

Al momento, il divario tra i produttori locali di FPGA e i leader esteri esiste oggettivamente e c’è spazio sufficiente per recuperare terreno.

Il divario tra produttori nazionali ed esteri

Innanzitutto, diamo un’occhiata all’attuale divario tra produttori nazionali e produttori internazionali.

Dal punto di vista della capacità FPGA, l'attuale livello più alto di FPGA civili domestici può raggiungere circa 400.000 unità logiche e la capacità FPGA più grande del mondo attuale è VP1902 (Versal Premium) lanciato da AMD il 27 giugno 2023, con un numero di unità logiche fino a 18.507.000. che è 46 volte quello della Cina.

Dal punto di vista del processo produttivo, il processo domestico più avanzato è attualmente 14/16 nm e Xilinx ha rilasciato il prodotto FPGA eterogeneo da 7 nm Versal nel 2018.

Dal punto di vista del reddito , le principali società cinesi di FPGA includono Unisoc, Anlu Technology, Fudan Microelectronics, Gowin Semiconductor, Guowin Microelectronics, Yilingsi, Xi'an Zhiduojing, Jingwei Qili, ecc. Essendo una parte importante del mercato globale degli FPGA, la Cina rappresenterà circa 1/3 del mercato nel 2022. Tuttavia, dal lato della catena di fornitura, la quota di mercato globale dei chip FPGA nazionali è inferiore al 10%.

Dal punto di vista delle applicazioni a valle, attualmente nessun FPGA domestico è stato in grado di entrare nelle due aree FPGA di fascia alta: verifica dei prototipi e calcolo accelerato del data center.

Dal punto di vista del margine di profitto lordoAttualmente, gli FPGA nazionali si concentrano sulla sostituzione di media e bassa capacità nel campo dell'elettronica di consumo. Rispetto al mercato degli FPGA di grande capacità, le barriere all'ingresso sono relativamente basse e si è verificato un certo grado di "involuzione". il margine di profitto lordo tipico è compreso tra il 35% e il 40%. Durante il periodo, AMD ha vantaggi competitivi eccezionali nel mercato FPGA di fascia alta è rimasto sostanzialmente superiore al 65% negli ultimi 10 anni ed è stato vicino 70% negli ultimi tre anni.

I produttori nazionali stanno facendo rapidi progressi tecnologici

Sebbene l'industria cinese degli FPGA sia nata tardi, negli ultimi anni si è sviluppata rapidamente.

Spinte dal mercato e supportate dalle politiche nazionali, le aziende locali di FPGA hanno continuato a lavorare sodo e hanno ottenuto risultati considerevoli sia nella tecnologia che nel mercato.

Allo stato attuale, la tecnologia FPGA a bassa capacità dei produttori cinesi si è sviluppata in modo relativamente maturo.L'FPGA a bassa capacità ha meno di 100.000 unità logiche e richiede costi estremamente bassi e un basso consumo energetico. È concentrato principalmente nei tre nodi di 55 nm, 40 nm e 28 nm.

La maggior parte dei produttori nazionali ha lanciato tali prodotti nel 2019 o prima e spesso si tratta di prodotti di prima generazione di produttori locali di FPGA. Ad esempio, la serie Logos di Unisoc è stata lanciata nel 2017 ed è un FPGA a basso consumo e basso costo da 40 nm con unità logiche comprese tra 12 e 102k. Eagle4 da 55 nm di Anlu Technology è stato lanciato nel 2016 con unità logiche da 20k, utilizzate principalmente nei campi del servo; controllo e conversione dell'interfaccia immagine ad alta velocità; l'FPGA LittleBee da 55 nm di GOWIN Semiconductor è stato lanciato nel 2016. È il prodotto di prima generazione dell'azienda, con un numero di unità logiche compreso tra 1 e 8k.

Nel mercato a bassa e media capacità da 28 nm, i produttori cinesi di FPGA dispongono già di prodotti maturi. Gli FPGA di media capacità si riferiscono principalmente agli FPGA con unità logiche da 100k-500k. Le principali applicazioni sono concentrate nel lato dell'interfaccia aerea delle comunicazioni wireless, dell'industria, delle automobili e dei settori A&D. Il mercato di media capacità non persegue le massime prestazioni. Le prestazioni e il consumo energetico sono ugualmente importanti e anche i costi ne risentono. Ad esempio, Unisoc, Anlu Technology e Zhiduoji hanno lanciato prodotti FPGA a 28 nm nel 2020, confrontando principalmente i prodotti della serie 7 di Xilinx.

Inoltre, alcuni produttori hanno lanciato FPGA da 22 nm per sostituire alcuni FPGA da 28 nm a bassa e media capacità. Ad esempio, Aurora V lanciato da Gowin nel settembre 2022 è il suo prodotto FPGA da 22 nm con un conteggio di unità logiche di 138k.

Gli FPGA ad alta capacità superiori a 500.000 sono attualmente difficili da sostituire con quelli domestici. Le aziende locali devono svilupparsi in modo approfondito in termini di architettura hardware, software EDA, prestazioni IP, ecc. Tra questi, la cosa più importante è risolvere il problema del layout e del routing del software EDA in FPGA su larga scala.

I ricavi domestici degli FPGA aumentano in modo significativo

Diamo un’occhiata alle entrate dei produttori nazionali di FPGA.

Anlu Technology è un'azienda leader nel settore FPGA domestico. Nel 2018 e nel 2019, il reddito operativo di Anlu Technology è stato rispettivamente di soli 28,5203 milioni di yuan e 122 milioni di yuan. Successivamente, le sue prestazioni sono raddoppiate nel 2021 e nel 2022.

Secondo i dati pubblici, i ricavi delle attività FPGA di Anlu Technology nel 2020 sono stati di 280 milioni di yuan e hanno continuato ad aumentare negli anni successivi. Entro il 2021, i ricavi derivanti dalle attività FPGA aumenteranno fino a 642 milioni di yuan, più che raddoppiando su base annua. Entro il 2022, questo numero aumenterà fino a 989 milioni di yuan, mostrando un forte slancio di crescita del mercato.

Anche il margine di profitto lordo di Anlu Technology ha registrato buoni risultati. Dal 2020 al 2022, i suoi margini di profitto lordi sono rispettivamente del 34,05%, 34,18% e 39,13%, mostrando una costante tendenza al rialzo. Soprattutto nel campo degli FPGA, il margine di profitto lordo raggiungerà il 39,13% nel 2022.

Essendo una consolidata società di progettazione di circuiti integrati nazionale, Fudan Micro si comporta bene anche nel campo FPGA. Negli ultimi anni, i ricavi derivanti dal business FPGA hanno continuato a crescere. Nel 2020, il fatturato delle attività FPGA di Fudan Micro è stato di 204 milioni di yuan entro il 2021, questo numero è aumentato a 427 milioni di yuan e nel 2022 ha raggiunto 781 milioni di yuan, dimostrando la forte concorrenza dell'azienda nel mercato degli FPGA;

Anche il margine di profitto lordo di Fudan Micro è a un livello relativamente elevato. Dal 2020 al 2022, i margini di profitto lordi del business FPGA sono stati rispettivamente dell'82,56%, 84,71% e 84,7%, mantenendo elevata stabilità e redditività. Ciò è dovuto principalmente alla forte forza tecnica dell'azienda e al layout completo della linea di prodotti.

05

I produttori nazionali di FPGA hanno molte opportunità

Attualmente esistono due condizioni favorevoli per la localizzazione degli FPGA: in primo luogo, i produttori nazionali di FPGA hanno ricevuto quantità relativamente elevate di sussidi governativi negli ultimi anni, riflettendo il forte sostegno del Paese alla localizzazione degli FPGA. In secondo luogo, il ciclo di vita di 28 nm è più lungo rispetto alle generazioni precedenti, offrendo ai produttori nazionali ampio spazio per recuperare terreno.

A giudicare dai dati divulgati, nei cinque anni dal 2018 al 2022, i produttori nazionali di FPGA hanno ricevuto decine di milioni o addirittura centinaia di milioni di sussidi governativi ogni anno. Nel 2022, gli importi dei sussidi governativi inclusi nei profitti e nelle perdite correnti per Anlu Technology, Fudan Micro e Ziguang Guowei ammontano rispettivamente a oltre 30 milioni di RMB, oltre 60 milioni di RMB e 170 milioni di RMB. Loongson Zhongke, i cui prodotti principali sono CPU, riceverà sussidi governativi per 190 milioni di yuan nel 2022, Haiguang Information, i cui prodotti principali sono CPU e DCU, riceverà più di 60 milioni e Jingjiawei riceverà più di 30 milioni. Secondo i dati WSTS, la dimensione del mercato dei microprocessori sarà pari a 50,8 miliardi di dollari nel 2022, ovvero 6 volte la dimensione del mercato FPGA. Rispetto ad altre categorie di società di chip digitali, l’importo dei sussidi governativi ricevuti dalle società FPGA è ancora relativamente elevato, riflettendo la forte volontà del Paese di supportare gli FPGA.

Inoltre, come un tipico chip digitale, il ciclo di vita dell'FPGA è generalmente di soli 10-15 anni (mentre i chip analogici possono durare anche più di 20 anni). Il picco di fatturato si riscontra spesso nei 4-5 anni successivi lancio, 60 anni a causa delle caratteristiche di rapida iterazione % dei ricavi avvenuta nei primi 6 anni, seguito da un mercato in cui sia il volume che il prezzo sono diminuiti. Pertanto, gli operatori del mercato devono sforzarsi di competere per la leadership dei processi, perché i produttori ritardatari spesso non riescono a ottenere entrate sufficienti per supportare lo sviluppo di prodotti di prossima generazione. Il ciclo di vita di 28 nm è più lungo rispetto alle generazioni precedenti, il che offre ai produttori nazionali ampio spazio per recuperare terreno.

In futuro, il mercato dei chip FPGA continuerà a mantenere una rapida crescita. Con il continuo sviluppo del 5G, dell’Internet delle cose, dell’intelligenza artificiale e di altre tecnologie, i chip FPGA svolgeranno un ruolo importante in più campi. Allo stesso tempo, con il continuo progresso della tecnologia dei chip FPGA domestici e il graduale aumento della quota di mercato, il mercato domestico dei chip FPGA introdurrà anche uno spazio di sviluppo più ampio.

Secondo le previsioni di Frost & Sullivan, le dimensioni del mercato globale FPGA dovrebbero superare i 12,5 miliardi di dollari nel 2025 e le dimensioni del mercato interno aumenteranno da 20,88 miliardi di RMB nel 2022 a 33,22 miliardi di RMB nel 2025, con un CAGR triennale di circa 17%.

In generale, il mercato dei chip FPGA è un mercato pieno di opportunità e sfide. Con il continuo progresso della tecnologia e la continua espansione dei campi di applicazione, i chip FPGA svolgeranno un ruolo importante in più campi e promuoveranno il rapido sviluppo delle industrie correlate.