news

How far have domestic FPGAs come?

2024-07-24

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

With the rapid development of artificial intelligence (AI) technology, its application boundaries are constantly expanding, from simple image recognition to complex natural language processing, and then toAutopilot, intelligent manufacturing and other cutting-edge fields, AI is changing our world at an unprecedented speed.

In this AI revolution, deep learning, as its core driving force, continues to promote the innovation of algorithms and models, while also placing more stringent requirements on computing resources.

Although FPGA, which was born in 1985, has not been on the market for long, it has already won a place in the booming chip wave with its unique advantage of being "programmable" and has become another strong rival of GPU chips.

01

Characteristics of FPGA

FPGA chips are developed based on programmable devices (PAL, GAL, CPLD) and are semi-customized, programmable integrated circuits. FPGA has three main features:

High programmable flexibility

Whether it is CPU, GPU, DSP, Memory or various ASIC chips, the functions of the chips are fixed after they are manufactured, and users cannot make any changes to their hardware functions. However, the functions of FPGA chips are not fixed after they are manufactured. Users can configure the functions of FPGA chips according to their actual needs by using the dedicated EDA software provided by FPGA chip companies to design their own circuits, thereby converting blank FPGA chips into integrated circuit chips with specific functions.

Each FPGA chip can be configured with multiple different functions to achieve different functions, which is highly flexible.

Short development cycle

In logic chips, such as ASIC, the manufacturing process includes multiple steps such as logic implementation, wiring processing and tape-out, while FPGA does not require wiring, masking and custom tape-out, which greatly simplifies chip development. The development cycle of general logic chips, such as ASIC, DSP, SOC, etc., takes 14-24 months or even longer, while FPGA only takes 6-12 months, which is 55% less than the development cycle of other chips.

As Xilinx, the world's largest FPGA manufacturer, believes, faster is more important than cheaper. If a product is launched six months late, profits will be reduced by 33% within five years, and every four weeks of delay means a loss of 14% market share.

High parallel computing efficiency

FPGA is a parallel computing system, which means that it can execute multiple instruction algorithms at a time. Traditional ASIC, DSP, and CPU are all serial computing systems, which can only process one instruction set at a time. Therefore, in some special tasks, the parallel computing efficiency of FPGA is higher than that of serial computing.

CPU, GPU, ASIC and FPGA are four types of computer processors, and different processors have unique advantages.

Comparison between FPGA and mainstream chips

The CPU has fewer ALUs, and the controller accounts for a larger proportion; the GPU has smaller and more ALUs, with simple controller functions and less cache. The difference in architecture makes the CPU good at logic control and serial calculations, while the GPU is good at high-intensity parallel calculations. The processing power of a single GPU unit is weaker than that of the CPU's ALU, but a large number of units can work at the same time. When faced with high-intensity parallel calculations, its performance is better than that of the CPU. In addition to image processing, GPUs are now increasingly used in other calculations.

It can be said that the CPU is more like an excellent leader with multiple functions. Its advantages lie in its strong scheduling, management, and coordination capabilities, and its computing power comes second. The GPU is equivalent to an employee who "has a lot of computing power" and is scheduled by the CPU.

ASIC, or application-specific integrated circuit, refers to an integrated circuit designed and manufactured to meet the requirements of specific users and specific electronic systems. The characteristics of ASIC are that it is oriented to the needs of specific users. Compared with general-purpose integrated circuits, ASIC has the advantages of smaller size, lower power consumption, improved reliability, improved performance, enhanced confidentiality, and lower cost when mass-produced.

Developing artificial intelligence chips based on ASIC is more like circuit design, which requires repeated optimization and a long tape-out cycle, so the development cycle is longer.

After mass production, the cost and price of ASIC artificial intelligence chips are lower. Although compared with FPGA, ASIC artificial intelligence chips need to go through a longer development cycle and require expensive tape-out investment, these early development investments will be diluted after mass production, so after mass production, the cost and price of ASIC artificial intelligence chips will be lower than FPGA artificial intelligence chips.

Thanks to its unique architecture, FPGA's programmable properties enable it to achieve a better balance between computing power, cost, and power consumption than other processors.

02

FPGA is experiencing explosive growth

FPGA has a wide range of application scenarios due to its high programmable flexibility, short development cycle and high parallel computing efficiency.

Communications is currently the largest application market for FPGAs.FPGA applications in the communications field include network switching, communication protocol conversion, flow control, etc. It can be used to achieve efficient data processing and large-scale radio frequency relays. For example, in5GIn communication technology, FPGA is used for signal processing and data processing in base stations, improving the performance and stability of communication systems.

The industrial field is one of the main application markets for FPGA chips.In the industrial field, FPGA is mainly used in video processing, image processing, CNC machine tools and other fields to realize signal control and operation acceleration functions. For example, in an automated production line, FPGA is used to control the movement of the robot arm and coordinate the operation of various devices.

Data center is one of the emerging application markets for FPGA chips.In the field of data center computing and processing, FPGA chips are mainly used for hardware acceleration. Compared with CPUs, FPGA chips can provide powerful computing power and sufficient flexibility due to their architecture without instructions and shared memory. Compared with GPUs, FPGA chips have the advantages of low latency and high throughput in data centers. Compared with ASICs, FPGA chips can achieve an excellent balance in flexibility and development time.

With the rise of electric vehicles,FPGAs are increasingly used in automobiles.The automotive electronics industry's demand for FPGAs mainly comes from ADAS (intelligent perception systems) and AV (autonomous driving vehicles). For example, FPGA chips can be used to control and drive electric vehicle motor control systems, connect driving systems, dashboards, radars, ultrasonic sensors and other on-board equipment, and realize signal processing and control of laser radars, millimeter-wave radars, etc. In the field of video bridging and fusion, FPGA chips can be used to realize signal bridging of multiple image sensors, 3D surround video fusion, reversing auxiliary video, assisted driving video and other functions. In the field of assisted driving and autonomous driving, FPGA chips can be used to realize various functions such as machine vision and target detection.

In the era of artificial intelligence, AI algorithms are constantly being innovated, which places high demands on the computing power and flexibility of hardware.The flexibility of FPGA just meets the characteristics of AIFPGAs are mainly used as accelerators for matrix multiplication algorithms andNeural NetworksThe executor of the accelerator. It can achieve low-latency computing and high-performance computing, providing strong hardware support for the development of artificial intelligence. For example, in the field of deep learning, FPGA is used to accelerate the reasoning and training process of neural networks, improving the processing speed and energy efficiency of artificial intelligence systems. In addition, as heterogeneous computing fusion and other forms are becoming more and more popular, architectures such as FPGA+CPU also bring more potential advantages to AI.

03

International FPGA Competition Landscape

The global FPGA market is dominated by two overseas companies, Xilinx (acquired by AMD) and Intel, which together accounted for more than 85% of the global market share in 2019. Due to high technical barriers and rapid upgrades, the global FPGA market is highly concentrated, with domestic manufacturers accounting for a relatively low proportion.

AMD products have entered the 7nm complex heterogeneous

AMD (Xilinx) FPGA-related product matrix mainly includes: four major FPGA product series (VIRTEX, KINTEX, ARTIX, SPARTAN), and two more highly integrated adaptive SoC (AdaptiveSoC) series (ZYNQ, VERSAL).

Comparison of AMD (Xilinx) FPGA high/mid/low-end product series

From the perspective of chip manufacturing processThere are four main categories: UltraScale+ (16nm), UltraScale (20nm), 7 series (28nm) and 6 series (45nm). VERSAL is an overall upgrade to the 7nm process.

From the perspective of chip architecture, FPGA single chips are developing towards more advanced processes, higher-speed circuit structures, and complex heterogeneous SoC systems. AMD's VERSAL series products integrate PCIe, Ethernet communication protocols, AI engines, NoC architectures, etc.

From the product priceAs the product positioning moves from low-end to high-end, indicators such as FPGA capacity continue to improve, and the communication architecture is gradually upgraded, the price of the corresponding development board has gradually increased from hundreds of dollars to more than ten thousand dollars.

From the perspective of application, FPGA chips suitable for high-end applications such as data centers are mostly high-end FPGA product lines, and only a small number of mid-to-high-end FPGAs can also meet the network acceleration needs of data centers.

Intel: High-end products have been shipped in large quantities, and low-power series will be launched soon

In March this year, Intel separated its Programmable Solutions Group (PSG) into an independent operation and re-presented it under the brand image of Altera. The newly established Altera has formulated a new development strategy, aiming to consolidate and expand its market position by focusing on the high-performance FPGA market, while actively exploring the mid- and low-end FPGA market to attract a wider customer base and expand development space.

Agilex FPGA和SoC FPGAThe family is built on Intel's 10nm process node, which improves performance and reduces power consumption.

Agilex9 FPGA和SoC FPGAIt provides unprecedented functions and optimization for target applications, surpassing the limits of mainstream programmable logic. It has been mass-produced. With the industry-leading data converter, this product is particularly suitable for application scenarios that require processing high-bandwidth mixed-signal FPGAs.

Agilex7 FPGA和SoC FPGAIt is a high-performance FPGA that provides industry-leading architecture and I/O speed, making it ideal for most bandwidth-intensive and compute-intensive applications. The Intel Agilex 7 logic structure performance-to-power ratio is about 2 times higher than that of competitors' 7nm node FPGAs.

Agilex 7The device uses advanced 10nm SuperFin technology (F-series and I-series), Intel 7 technology (M-series) and the second-generation Intel Hyperflex FPGA architecture. Applications for this product include data centers, networks, defense and industry.

Agilex 5It is a mid-range FPGA optimized for applications that require high performance, low power consumption, and small size. The product is now generally available.

Intel Agilex 5 is the first FPGA to support LPDDR4 and LPDDR5 (+DDR4, DDR5), the first product equipped with AI tensor blocks, and has the first asymmetric multi-core application processor system (2xArm A76, 2xArm A55), which can improve AI reasoning capabilities at the edge. Its E series has optimized power consumption and size, and its performance-to-power ratio has increased by 1.6 times compared to 16nm competing products; the D series has optimized performance, and its performance-to-power ratio has increased by 2 times compared to 7nm competing products.

Agilex 3Coming soon, this product family features a smaller form factor as well as power and cost optimizations. This product is designed to provide a leading low-power FPGA family for low-complexity functions in cloud computing, communications, and intelligent edge applications.

In summary, Altera's product line is becoming more and more complete. Agilex 9 is in the mass production stage, Agilex 7 F series and I series devices have been put into production, Agilex 5 has been fully launched, and the upcoming Agilex 3 will meet the needs of cloud, communication and intelligent edge applications for low-complexity, low-power FPGAs.

04

The domestic competition is getting more intense

At present, there is an objective gap between local FPGA manufacturers and overseas leaders, and there is ample room for catching up.

The gap between domestic and foreign manufacturers

First, let’s take a look at the current gap between domestic and international manufacturers.

From the perspective of FPGA capacityAt present, the highest level of domestic civilian FPGA can achieve about 400k logic units, and the world's largest FPGA is the VP1902 (Versal Premium) launched by AMD on June 27, 2023. The number of logic units is as high as 18,507k, which is 46 times that of domestic ones.

From the process point of viewCurrently, the most advanced domestic process is 14/16nm, and Xilinx released the 7nm heterogeneous FPGA product Versal in 2018.

In terms of income, China's major FPGA companies include Unigroup Tongchuang, Anlu Technology, Fudan Microelectronics, Gowin Semiconductor, SMIC, Elinx, Xi'an Zhiduojing, Jingwei Qili, etc. As an important part of the global FPGA market, China's market share will be around 1/3 in 2022, but on the supply chain side, the global market share of domestic FPGA chips is less than 10%.

From the perspective of downstream applicationsCurrently, no domestic FPGAs have been able to enter the two most advanced FPGA fields: prototype verification and data center accelerated computing.

From the perspective of gross profit marginAt present, domestic FPGAs are concentrated on replacing medium and low capacity in the field of consumer electronics. Compared with the large-capacity FPGA market, the entry barrier is relatively low, and a certain degree of "involution" has occurred. The typical gross profit margin is between 35% and 40%. AMD has outstanding competitive advantages in the high-end FPGA market. In the past 10 years, the gross profit margin has basically remained above 65%, and in the past three years it has been close to 70%.

Domestic manufacturers are making rapid technological progress

Although China's FPGA industry started late, it has developed rapidly in recent years.

Driven by the market and supported by national policies, local FPGA companies have continued to make efforts and have achieved considerable results in both technology and market.

Currently, Chinese manufacturers' low-capacity FPGA technology has become relatively mature.The logic unit of low-capacity FPGA is less than 100k, requiring extremely low cost and low power consumption, and is mainly concentrated in the three nodes of 55nm, 40nm and 28nm.

Most domestic manufacturers launched such products in 2019 or earlier, and they are often the first generation of products from local FPGA manufacturers. For example, Unigroup Tongchuang's Logos series was launched in 2017, which is a 40nm low-power, low-cost FPGA with a logic unit of 12-102k; Anlu Technology's 55nm Eagle4 was launched in 2016, with a logic unit of 20k, mainly used in the fields of servo control and high-speed image interface conversion; Gaoyun Semiconductor's 55nm FPGA LittleBee was launched in 2016, which is the company's first generation product, with a logic unit number of 1-8k.

In the 28nm low- and medium-capacity market, Chinese FPGA manufacturers already have mature products.Medium-capacity FPGAs mainly refer to FPGAs with logic units of 100k-500k. Their main applications are concentrated in the air interface side of wireless communications, industry, automobiles, and A&D fields. The medium-capacity market does not pursue the highest performance. Performance and power consumption are equally important, and there are certain requirements for cost. For example, Unigroup Tongchuang, Anlu Technology, and Zhiduojing all launched 28nm FPGA products in 2020, mainly targeting Xilinx's 7 series products.

In addition, some manufacturers have launched 22nm FPGAs to replace some 28nm medium and low-capacity FPGAs. For example, Aurora V, launched by Gaoyun in September 2022, is its 22nm FPGA product with 138k logic units.

High-capacity FPGAs above 500K are currently difficult to replace with domestic products.Local companies need to develop in-depth in hardware architecture, EDA software, IP performance, etc. Among them, the most important thing is to solve the layout and routing problem of EDA software in large-scale FPGA.

Domestic FPGA revenue has increased significantly

Let’s take a look at the revenue situation of domestic FPGA manufacturers.

As a leading company in the domestic FPGA field, Anlu Technology's operating income was only 28.5203 ​​million yuan and 122 million yuan in 2018 and 2019. Then in 2021 and 2022, its performance doubled.

According to public data, Anlu Technology's FPGA business revenue was 280 million yuan in 2020, and continued to rise in the following years. By 2021, its FPGA business revenue grew to 642 million yuan, more than doubling year-on-year. By 2022, this figure has grown to 989 million yuan, showing strong market growth momentum.

Anlu Technology's gross profit margin also performed well. From 2020 to 2022, its gross profit margin was 34.05%, 34.18% and 39.13% respectively, showing a steady upward trend. Especially in the FPGA business field, its gross profit margin reached 39.13% in 2022.

As a domestic veteran IC design company, Fudan Micro has also performed well in the FPGA field. In recent years, its FPGA business revenue has continued to grow. In 2020, Fudan Micro's FPGA business revenue was 204 million yuan; by 2021, this figure increased to 427 million yuan; and by 2022, it reached 781 million yuan, showing the company's strong competitiveness in the FPGA market.

Fudan Micro's gross profit margin is also at a high level. From 2020 to 2022, its FPGA business gross profit margin was 82.56%, 84.71% and 84.7% respectively, maintaining a high level of stability and profitability. This is mainly due to the company's strong technical strength and perfect product line layout.

05

Domestic FPGA manufacturers have many opportunities

There are two favorable conditions for FPGA localization: First, the amount of government subsidies received by domestic FPGA manufacturers in recent years is relatively large, reflecting the country's strong support for FPGA localization. Second, the life cycle of 28nm is longer than that of previous generations, giving domestic manufacturers more room to catch up.

According to the disclosed data, domestic FPGA manufacturers received government subsidies of tens of millions or even hundreds of millions every year from 2018 to 2022. In 2022, the government subsidies included in the current profit and loss of Anlu Technology, Fudan Micro, and Ziguang Guowei were RMB 30 million+, 60 million+, and 170 million, respectively. The government subsidies for Loongson Zhongke, whose main products are CPUs, in 2022 were 190 million yuan, and the government subsidies for Haiguang Information, whose main products are CPUs and DCUs, were 60 million+, and Jingjiawei was 30 million+. According to WSTS data, the microprocessor market size in 2022 will be US$50.8 billion, which is 6 times the size of the FPGA market. Compared with other categories of digital chip companies, FPGA companies still receive a large amount of government subsidies, reflecting the country's strong willingness to support FPGAs.

In addition, as a typical digital chip, the life cycle of FPGA is generally only 10-15 years (while analog chips can be up to 20 years or more). The rapid iteration feature makes its revenue peak often found in the 4th to 5th year after its launch, and 60% of the revenue occurs in the first 6 years, followed by a market with both volume and price falling. Therefore, market players must strive to compete for process leadership, because manufacturers with lagging processes often cannot obtain enough revenue to support the development of the next generation of products. The life cycle of 28nm is longer than previous generations, which gives domestic manufacturers more room to catch up.

In the future, the FPGA chip market will continue to maintain a rapid growth trend. With the continuous development of technologies such as 5G, Internet of Things, and artificial intelligence, FPGA chips will play an important role in more fields. At the same time, with the continuous advancement of domestic FPGA chip technology and the gradual increase in market share, the domestic FPGA chip market will also usher in a broader development space.

According to Frost & Sullivan's forecast, the global FPGA market size is expected to exceed US$12.5 billion in 2025, and the domestic market size will increase from RMB 20.88 billion in 2022 to RMB 33.22 billion in 2025, with a three-year CAGR of approximately 17%.

In general, the FPGA chip market is a market full of opportunities and challenges. With the continuous advancement of technology and the continuous expansion of application areas, FPGA chips will play an important role in more fields and promote the rapid development of related industries.