nouvelles

Où sont passés les FPGA nationaux ?

2024-07-24

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Avec le développement rapide de la technologie de l'intelligence artificielle (IA), ses limites d'application continuent de s'étendre, de la simple reconnaissance d'images au traitement complexe du langage naturel, en passant parPilote automatique, la fabrication intelligente et d’autres domaines de pointe, l’IA change notre monde à une vitesse sans précédent.

Dans cette révolution de l'IA, l'apprentissage profond, en tant que moteur principal, continue de promouvoir l'innovation des algorithmes et des modèles, et met également en avant des exigences plus strictes en matière de ressources informatiques.

Bien que le FPGA, né en 1985, n'existe plus depuis longtemps, il a déjà gagné une place dans la vague des puces avec l'avantage unique de la « programmabilité » et est devenu un autre puissant rival des puces GPU.

01

Caractéristiques du FPGA

Les puces FPGA sont développées sur la base de dispositifs programmables (PAL, GAL, CPLD) et sont des circuits intégrés semi-personnalisés et programmables. Le FPGA a trois caractéristiques principales :

Programmabilité et flexibilité élevées

Qu'il s'agisse de CPU, GPU, DSP, mémoire ou diverses puces ASIC, une fois la puce fabriquée, sa fonction a été corrigée et les utilisateurs ne peuvent apporter aucune modification à ses fonctions matérielles. Une fois la puce FPGA fabriquée, ses fonctions ne sont pas fixées. Les utilisateurs peuvent utiliser le logiciel EDA dédié fourni par la société de puces FPGA pour configurer fonctionnellement le circuit qu'ils ont conçu en fonction de leurs besoins réels, convertissant ainsi la puce FPGA vierge en une puce FPGA. Une puce de circuit intégré avec des fonctions spécifiques.

Chaque puce FPGA peut être configurée avec plusieurs fonctions différentes pour réaliser différentes fonctions et est très flexible.

Cycle de développement court

Dans les puces logiques, par exemple, le processus de fabrication des ASIC comprend plusieurs étapes telles que la mise en œuvre logique, le traitement du câblage et la sortie sur bande ; tandis que le FPGA ne nécessite pas de câblage, de masquage et de sortie sur bande personnalisée, ce qui simplifie grandement le développement des puces. Le cycle de développement des puces logiques générales, telles que ASIC, DSP, SOC, etc., prend 14 à 24 mois, voire plus, tandis que le FPGA ne prend que 6 à 12 mois, soit 55 % de moins que les autres cycles de développement de puces.

Tout comme Xilinx, le plus grand fabricant mondial de FPGA, estime qu'il est plus important d'être plus rapide que d'être moins cher. Si un produit est lancé six mois plus tard, ses bénéfices seront réduits de 33 % en cinq ans. Quatre semaines chaque nuit équivaut à un. perte de 14% de part de marché.

Efficacité élevée du calcul parallèle

Le FPGA appartient au calcul parallèle, c'est-à-dire qu'il peut exécuter plusieurs algorithmes d'instructions à la fois. Les ASIC, DSP et CPU traditionnels sont tous des ordinateurs en série et ne peuvent traiter qu'un seul jeu d'instructions à la fois. Par conséquent, dans certaines tâches spéciales, l’efficacité du calcul parallèle du FPGA est supérieure à l’efficacité du calcul série.

CPU, GPU, ASIC et FPGA sont quatre types de processeurs informatiques, et différents processeurs présentent des avantages uniques.

Comparaison entre FPGA et puces grand public

Le CPU a moins d'unités d'opération logiques (ALU) et un contrôleur (contrôle) plus grand ; le GPU a des unités d'opération logiques (ALU) plus petites et plus nombreuses, le contrôleur a des fonctions simples et il y a moins de caches. La différence d'architecture rend le CPU performant pour le contrôle logique et les calculs série, tandis que le GPU est performant pour les calculs parallèles de haute intensité. La puissance de traitement d'une seule unité de calcul du GPU est plus faible que celle de l'ALU du CPU, mais un grand nombre d'unités de calcul peuvent fonctionner en même temps, face à un calcul parallèle de haute intensité, ses performances sont meilleures que celles de l'ALU. celui du CPU. De nos jours, outre le traitement d’images, les GPU sont de plus en plus utilisés dans d’autres calculs.

On peut dire que le CPU s’apparente davantage à un excellent leader doté de multiples fonctions. Son avantage réside dans de fortes capacités de planification, de gestion et de coordination, suivies par une puissance de calcul. Le GPU équivaut à un employé « doté de beaucoup de puissance de calcul » qui accepte la planification du CPU.

ASIC signifie Application Specific Integrated Circuit, qui fait référence à un circuit intégré conçu et fabriqué en réponse aux exigences spécifiques des utilisateurs et aux besoins de systèmes électroniques spécifiques. La caractéristique de l'ASIC est qu'il est orienté vers les besoins d'utilisateurs spécifiques. Par rapport aux circuits intégrés à usage général, l'ASIC présente les avantages d'une taille plus petite, d'une consommation d'énergie réduite, d'une fiabilité améliorée, de performances améliorées, d'une confidentialité accrue et d'un coût de masse réduit. production.

Le développement de puces d'intelligence artificielle basées sur ASIC s'apparente davantage à la conception de circuits, qui nécessite des optimisations répétées et un long cycle d'enregistrement, de sorte que le cycle de développement est long.

Après la production de masse, le coût et le prix des puces d'intelligence artificielle ASIC seront inférieurs. Bien que par rapport aux FPGA, les puces d'intelligence artificielle ASIC doivent passer par un cycle de développement plus long et nécessitent des investissements coûteux, ces premiers investissements de développement seront dilués après la production de masse, donc après la production de masse, la puce d'intelligence artificielle ASIC Le coût et le prix sera inférieur aux puces d'intelligence artificielle FPGA.

Bénéficiant de son architecture unique, les attributs programmables du FPGA lui permettent d'atteindre un meilleur équilibre entre puissance de calcul, coût et consommation d'énergie que les autres processeurs.

02

Le FPGA est sur le point d'exploser

Le FPGA propose un large éventail de scénarios d'application en raison de sa grande flexibilité de programmation, de son cycle de développement court et de sa haute efficacité de calcul parallèle.

Les communications constituent actuellement le plus grand marché d'applications pour les FPGA. Les applications du FPGA dans le domaine des communications incluent la commutation de réseau, la conversion de protocole de communication, le contrôle de flux, etc. Il peut être utilisé pour réaliser un traitement de données efficace et un relais radiofréquence à grande échelle.Par exemple, dans5GDans les technologies de communication, le FPGA est utilisé pour le traitement du signal et le traitement des données des stations de base, améliorant ainsi les performances et la stabilité du système de communication.

Le domaine industriel est l’un des principaux marchés d’application des puces FPGA. Le FPGA est principalement utilisé dans le domaine industriel pour réaliser des fonctions de contrôle du signal et d'accélération informatique dans le traitement vidéo, le traitement d'images, les machines-outils CNC et d'autres domaines. Par exemple, dans les lignes de production automatisées, les FPGA sont utilisés pour contrôler le mouvement des bras robotiques et coordonner le fonctionnement de divers équipements.

Les centres de données sont l'un des marchés d'applications émergents pour les puces FPGA. Dans le domaine du calcul et du traitement des centres de données, les puces FPGA sont principalement utilisées pour l'accélération matérielle. Par rapport aux processeurs, les puces FPGA peuvent fournir à la fois une puissance de calcul puissante et une flexibilité suffisante grâce à leur architecture sans instruction et à mémoire partagée. Par rapport aux GPU, les puces FPGA présentent les avantages d'une faible latence et d'un débit élevé dans les centres de données ; avec ASIC, les puces FPGA peuvent atteindre un excellent équilibre en termes de flexibilité, de temps de développement, etc.

Avec l’essor des véhicules électriques,Les FPGA sont de plus en plus utilisés dans les automobiles. La demande de FPGA dans l’industrie électronique automobile provient principalement des ADAS (systèmes de détection intelligents) et des AV (véhicules autonomes). Par exemple : les puces FPGA peuvent être utilisées pour contrôler et piloter les systèmes de commande de moteurs de véhicules électriques, connecter des systèmes de conduite, des tableaux de bord, des radars, des capteurs à ultrasons et d'autres équipements embarqués pour mettre en œuvre le traitement et le contrôle du signal tels que le radar laser et le radar à ondes millimétriques. Dans le domaine du pontage et de la fusion vidéo, les puces FPGA peuvent être utilisées pour mettre en œuvre le pontage des signaux de plusieurs capteurs d'image, la fusion vidéo en vue surround 3D, la vidéo d'assistance à la marche arrière, la vidéo de conduite assistée et d'autres fonctions. Dans les domaines de la conduite assistée et de la conduite autonome, les puces FPGA peuvent être utilisées pour mettre en œuvre diverses fonctions telles que la vision industrielle et la détection de cibles.

À l’ère de l’intelligence artificielle, les algorithmes d’IA innovent constamment et ont des exigences élevées en matière de puissance de calcul et de flexibilité du matériel.La flexibilité du FPGA correspond parfaitement aux caractéristiques de l'IA . Les FPGA sont principalement utilisés comme accélérateurs pour les algorithmes de multiplication matricielle etLes réseaux de neurones Actionneur d'accélérateur. Il peut réaliser un calcul à faible latence et un calcul haute performance, fournissant un support matériel puissant pour le développement de l'intelligence artificielle. Par exemple, dans le domaine de l'apprentissage profond, le FPGA est utilisé pour accélérer le processus d'inférence et de formation des réseaux de neurones, améliorant ainsi la vitesse de traitement et l'efficacité énergétique des systèmes d'intelligence artificielle. De plus, à mesure que des formes telles que la fusion informatique hétérogène deviennent de plus en plus populaires, des architectures telles que FPGA+CPU apportent également davantage d’avantages potentiels à l’IA.

03

Paysage de la compétition internationale FPGA

Le marché mondial des FPGA est principalement dominé par le duopole de deux sociétés étrangères, Xilinx (acquise par AMD) et Intel. En 2019, les deux sociétés représentaient ensemble plus de 85 % de la part de marché mondiale. En raison d'obstacles techniques élevés et d'une mise à niveau rapide, le marché mondial des FPGA est très concentré, les fabricants nationaux représentant une faible proportion.

Les produits AMD sont entrés dans une hétérogénéité complexe de 7 nm

La matrice de produits AMD (Xilinx) FPGA comprend principalement : quatre grandes séries de produits FPGA (VIRTEX, KINTEX, ARTIX, SPARTAN) et deux autres séries de SoC adaptatifs hautement intégrées (AdaptiveSoC) (ZYNQ, VERSAL).

Comparaison des séries de produits AMD (Xilinx) FPGA haut/milieu/bas de gamme

Du point de vue du processus de fabrication des puces, comprenant principalement quatre catégories : UltraScale+ (16 nm), UltraScale (20 nm), série 7 (28 nm) et série 6 (45 nm) VERSAL est une mise à niveau globale du processus 7 nm.

Du point de vue de l'architecture des puces, les puces uniques FPGA évoluent vers des processus plus avancés, des structures de circuits plus rapides et des systèmes SoC hétérogènes complexes. Les produits de la série VERSAL d'AMD intègrent PCIe, les protocoles de communication Ethernet, les moteurs d'IA, l'architecture NoC, etc.

Du point de vue du prix du produit, à mesure que le positionnement du produit passe du bas de gamme au haut de gamme, des indicateurs tels que la capacité du FPGA continuent de s'améliorer, l'architecture de communication est progressivement mise à niveau et le prix de la carte de développement correspondante augmente progressivement de quelques centaines de dollars à plus de 10 000 dollars. dollars.

Du point de vue des domaines d’application, les puces FPGA adaptées aux applications haut de gamme telles que les centres de données sont pour la plupart des gammes de produits FPGA haut de gamme, et seul un petit nombre de FPGA milieu à haut de gamme peuvent également répondre aux besoins d'accélération réseau des centres de données.

Intel : des produits haut de gamme ont été expédiés en grande quantité et des séries basse consommation seront bientôt lancées

En mars de cette année, Intel a fait de son Programmable Solutions Group (PSG) une opération indépendante et l'a re-présenté sous l'image de la marque Altera. Altera, nouvellement créée, a formulé une nouvelle stratégie de développement, visant à consolider et à étendre sa position sur le marché en se concentrant sur le marché des FPGA hautes performances, tout en explorant activement le marché des FPGA de milieu à bas de gamme pour attirer une clientèle plus large et étendre son développement. espace.

FPGA et FPGA SoC AgilexLa famille est construite sur le nœud de processus Intel 10 nm, qui améliore les performances et réduit la consommation d'énergie.

FPGA et FPGA SoC Agilex9 Fournit des capacités et des optimisations sans précédent pour les applications cibles, dépassant les limites de la logique programmable traditionnelle. À l'heure actuelle, une production à grande échelle a été réalisée. Avec ses convertisseurs de données de pointe, ce produit est particulièrement adapté aux applications nécessitant le traitement de FPGA à signaux mixtes à large bande passante.

FPGA et FPGA SoC Agilex7 Il s'agit d'un FPGA hautes performances qui offre une architecture et une vitesse d'E/S de pointe, ce qui le rend idéal pour les applications les plus gourmandes en bande passante et en calcul. Le rapport entre les performances de la structure logique et la consommation d'énergie d'Intel Agilex 7 est environ 2 fois supérieur à celui des FPGA à nœuds 7 nm des concurrents.

Agilex 7 L'appareil utilise la technologie avancée SuperFin 10 nm (séries F et série I), la technologie Intel 7 (série M) et l'architecture FPGA Intel Hyperflex de deuxième génération. Les domaines d'application de ce produit incluent les centres de données, les réseaux, la défense et l'industrie.

Agilex 5 Il s'agit d'un FPGA de milieu de gamme optimisé pour les applications nécessitant des performances élevées, une faible consommation d'énergie et une petite taille. Le produit est désormais généralement disponible.

Intel Agilex 5 est le premier FPGA à prendre en charge LPDDR4, LPDDR5 (+DDR4, DDR5), le premier produit équipé de blocs tenseurs IA, et possède le premier système de processeur d'application multicœur asymétrique (2xArm A76, 2xArm A55), qui peut améliorer les performances des capacités de raisonnement Edge AI. Sa série E a été optimisée pour la consommation d'énergie et la taille. Par rapport aux produits concurrents de 16 nm, le rapport performances/consommation d'énergie a été augmenté de 1,6 fois ; la série D a été optimisée pour les performances. Par rapport aux produits concurrents de 7 nm, le rapport performances/puissance. le taux de consommation a été multiplié par 2.

Agilex 3 Bientôt disponible, la famille de produits présentera un format plus petit ainsi que des optimisations de puissance et de coût. Ce produit est conçu pour fournir une famille de FPGA basse consommation leader pour les fonctions peu complexes dans le cloud computing, les communications et les applications de périphérie intelligentes.

En résumé, la gamme de produits d'Altera est de plus en plus complète, parmi lesquels Agilex 9 est en phase de production à grande échelle, les équipements des séries F et I d'Agilex 7 ont été mis en production, Agilex 5 a été entièrement lancé et le prochain Agilex 3 répondra aux exigences du cloud computing, les applications de communication et de périphérie intelligentes nécessitent des FPGA de faible complexité et de faible consommation.

04

La bataille pour les produits nationaux devient de plus en plus féroce

À l’heure actuelle, l’écart entre les fabricants locaux de FPGA et les leaders étrangers existe objectivement, et il existe suffisamment de marge pour rattraper son retard.

L'écart entre les fabricants nationaux et étrangers

Tout d’abord, examinons l’écart actuel entre les fabricants nationaux et les fabricants internationaux.

Du point de vue de la capacité FPGA, le niveau le plus élevé actuel de FPGA civils nationaux peut atteindre environ 400 000 unités logiques, et la plus grande capacité FPGA au monde actuelle est le VP1902 (Versal Premium) lancé par AMD le 27 juin 2023, avec un nombre d'unités logiques pouvant atteindre 18 507 000 unités logiques, soit 46 fois celui de la Chine.

Du point de vue du processus de fabrication, le processus national le plus avancé est actuellement le 14/16 nm, et Xilinx a lancé le produit FPGA hétérogène 7 nm Versal en 2018.

Du point de vue des revenus , les principales sociétés chinoises de FPGA comprennent Unisoc, Anlu Technology, Fudan Microelectronics, Gowin Semiconductor, Guowin Microelectronics, Yilingsi, Xi'an Zhiduojing, Jingwei Qili, etc. En tant que partie importante du marché mondial des FPGA, la Chine représentera environ un tiers du marché en 2022. Cependant, du côté de la chaîne d'approvisionnement, la part de marché mondiale des puces FPGA nationales est inférieure à 10 %.

Du point de vue des applications en aval, actuellement aucun FPGA national n'a été en mesure d'entrer dans les deux domaines FPGA les plus haut de gamme : la vérification des prototypes et le calcul accéléré des centres de données.

Du point de vue de la marge bénéficiaire bruteÀ l'heure actuelle, les FPGA nationaux se concentrent sur le remplacement des capacités moyennes et faibles dans le domaine de l'électronique grand public. Par rapport au marché des FPGA de grande capacité, les barrières à l'entrée sont relativement faibles et il y a eu un certain degré d'« involution ». la marge bénéficiaire brute typique se situe entre 35 % et 40 %. Au cours de la période, AMD dispose d'avantages concurrentiels exceptionnels sur le marché des FPGA haut de gamme. Sa marge bénéficiaire brute est restée supérieure à 65 % au cours des 10 dernières années et a été proche de celle-ci. 70% au cours des trois dernières années.

Les fabricants nationaux réalisent des progrès technologiques rapides

Bien que l'industrie chinoise des FPGA ait démarré tardivement, elle s'est développée rapidement ces dernières années.

Poussées par le marché et soutenues par les politiques nationales, les sociétés locales de FPGA ont continué à travailler dur et ont obtenu des résultats considérables tant sur le plan technologique que commercial.

À l'heure actuelle, la technologie FPGA de faible capacité des fabricants chinois s'est développée de manière relativement mature.Le FPGA de faible capacité comporte moins de 100 000 unités logiques et nécessite un coût extrêmement faible et une faible consommation d'énergie. Il est principalement concentré dans les trois nœuds de 55 nm, 40 nm et 28 nm.

La plupart des fabricants nationaux ont lancé de tels produits en 2019 ou avant, et il s'agit souvent des produits de première génération des fabricants locaux de FPGA. Par exemple, la série Logos d'Unisoc a été lancée en 2017 et est un FPGA 40 nm à faible consommation et à faible coût avec des unités logiques comprises entre 12 et 102 000 ; le Eagle4 55 nm d'Anlu Technology a été lancé en 2016 avec 20 000 unités logiques, principalement utilisé dans les domaines des servomoteurs. contrôle et conversion d'interface d'image à grande vitesse ; le FPGA LittleBee 55 nm de GOWIN Semiconductor a été lancé en 2016. Il s'agit du produit de première génération de la société, avec un nombre d'unités logiques allant de 1 à 8 000.

Sur le marché des faibles et moyennes capacités 28 nm, les fabricants chinois de FPGA disposent déjà de produits matures. Les FPGA de capacité moyenne font principalement référence aux FPGA avec des unités logiques de 100 000 à 500 000. Les principales applications sont concentrées dans les domaines des communications sans fil, de l'industrie, de l'automobile et de l'A&D. Le marché de capacité moyenne ne recherche pas les performances les plus élevées. Les performances et la consommation d'énergie sont tout aussi importantes, et le coût est également affecté. Certaines exigences sont requises. Par exemple, Unisoc, Anlu Technology et Zhiduoji ont tous lancé des produits FPGA 28 nm en 2020, évaluant principalement les produits de la série 7 de Xilinx.

En outre, certains fabricants ont lancé des FPGA 22 nm pour remplacer certains FPGA 28 nm de faible et moyenne capacité. Par exemple, l'Aurora V lancé par Gowin en septembre 2022 est son produit FPGA 22 nm avec un nombre d'unités logiques de 138 000 unités.

Les FPGA haute capacité supérieurs à 500K sont actuellement difficiles à remplacer par des FPGA domestiques. Les entreprises locales doivent se développer en profondeur en termes d'architecture matérielle, de logiciels EDA, de performances IP, etc. Parmi eux, le plus important est de résoudre le problème de disposition et de routage des logiciels EDA dans les FPGA à grande échelle.

Les revenus nationaux des FPGA augmentent considérablement

Examinons les revenus des fabricants nationaux de FPGA.

Anlu Technology est une entreprise leader dans le domaine national des FPGA. En 2018 et 2019, le bénéfice d'exploitation d'Anlu Technology n'était respectivement que de 28,5203 ​​​​millions de yuans et 122 millions de yuans. Plus tard, sa performance a doublé en 2021 et 2022.

Selon les données publiques, le chiffre d'affaires FPGA d'Anlu Technology en 2020 s'élevait à 280 millions de yuans et a continué d'augmenter les années suivantes. D'ici 2021, son chiffre d'affaires FPGA augmentera à 642 millions de yuans, soit plus du double d'une année sur l'autre. D'ici 2022, ce chiffre passera à 989 millions de yuans, ce qui témoigne d'une forte dynamique de croissance du marché.

La marge bénéficiaire brute d'Anlu Technology a également bien performé. De 2020 à 2022, ses marges bénéficiaires brutes sont respectivement de 34,05 %, 34,18 % et 39,13 %, affichant une tendance constante à la hausse. Surtout dans le domaine d'activité des FPGA, sa marge bénéficiaire brute atteindra 39,13 % en 2022.

En tant qu'entreprise nationale de conception de circuits intégrés bien établie, Fudan Micro est également performante dans le domaine des FPGA. Ces dernières années, le chiffre d’affaires de son activité FPGA a continué de croître. En 2020, le chiffre d'affaires des FPGA de Fudan Micro s'élevait à 204 millions de yuans ; en 2021, ce chiffre est passé à 427 millions de yuans et en 2022, il a atteint 781 millions de yuans, ce qui montre la forte concurrence de l'entreprise sur le marché des FPGA.

La marge bénéficiaire brute de Fudan Micro se situe également à un niveau relativement élevé. De 2020 à 2022, les marges bénéficiaires brutes de son activité FPGA étaient respectivement de 82,56 %, 84,71 % et 84,7 %, maintenant ainsi une stabilité et une rentabilité élevées. Cela est principalement dû à la forte force technique de l'entreprise et à la disposition complète de sa gamme de produits.

05

Les fabricants nationaux de FPGA ont de nombreuses opportunités

Il existe actuellement deux conditions favorables à la localisation des FPGA : Premièrement, les fabricants nationaux de FPGA ont reçu des subventions gouvernementales relativement importantes ces dernières années, reflétant le fort soutien du pays à la localisation des FPGA. Deuxièmement, le cycle de vie du 28 nm est plus long que celui des générations précédentes, ce qui laisse aux fabricants nationaux suffisamment de marge pour rattraper leur retard.

À en juger par les données divulguées, au cours des cinq années allant de 2018 à 2022, les fabricants nationaux de FPGA ont reçu des dizaines de millions, voire des centaines de millions de subventions gouvernementales chaque année. En 2022, les montants des subventions gouvernementales inclus dans les bénéfices et pertes courants pour Anlu Technology, Fudan Micro et Ziguang Guowei s'élèvent respectivement à plus de 30 millions de RMB, à plus de 60 millions de RMB et à 170 millions de RMB. Loongson Zhongke, dont les principaux produits sont les processeurs, recevra des subventions gouvernementales de 190 millions de yuans en 2022, Haiguang Information, dont les principaux produits sont les processeurs et les DCU, en recevra plus de 60 millions et Jingjiawei en recevra plus de 30 millions. Selon les données du WSTS, la taille du marché des microprocesseurs atteindra 50,8 milliards de dollars en 2022, soit 6 fois la taille du marché des FPGA. Comparé à d'autres catégories d'entreprises de puces numériques, le montant des subventions gouvernementales reçues par les entreprises de FPGA est encore relativement important, reflétant la forte volonté du pays de soutenir les FPGA.

De plus, en tant que puce numérique typique, le cycle de vie des FPGA n'est généralement que de 10 à 15 ans (alors que les puces analogiques peuvent durer jusqu'à plus de 20 ans). Le pic de revenus se situe souvent dans les 4 à 5 ans qui suivent. lancement, 60 ans en raison des caractéristiques d'itération rapide. % du chiffre d'affaires a eu lieu au cours des 6 premières années, suivi d'un marché dans lequel le volume et le prix ont chuté. Par conséquent, les acteurs du marché doivent s’efforcer de rivaliser pour le leadership en matière de processus, car les fabricants à la traîne en matière de processus ne peuvent souvent pas obtenir suffisamment de revenus pour soutenir le développement de produits de nouvelle génération. Le cycle de vie du 28 nm est plus long que celui des générations précédentes, ce qui donne aux fabricants nationaux suffisamment de marge pour rattraper leur retard.

À l’avenir, le marché des puces FPGA continuera de maintenir une croissance rapide. Avec le développement continu de la 5G, de l'Internet des objets, de l'intelligence artificielle et d'autres technologies, les puces FPGA joueront un rôle important dans davantage de domaines. Dans le même temps, avec l'avancement continu de la technologie nationale des puces FPGA et l'augmentation progressive de la part de marché, le marché national des puces FPGA ouvrira également la voie à un espace de développement plus large.

Selon les prévisions de Frost & Sullivan, la taille du marché mondial des FPGA devrait dépasser 12,5 milliards de dollars américains en 2025, et la taille du marché intérieur passera de 20,88 milliards de RMB en 2022 à 33,22 milliards de RMB en 2025, avec un TCAC sur trois ans d'environ 17%.

De manière générale, le marché des puces FPGA est un marché plein d'opportunités et de défis. Avec l'avancement continu de la technologie et l'expansion continue des domaines d'application, les puces FPGA joueront un rôle important dans davantage de domaines et favoriseront le développement rapide des industries connexes.