notizia

Lo stoccaggio emergente, il mondo del ghiaccio e del fuoco

2024-08-26

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Dagli anni '70, la DRAM è entrata nel mercato commerciale ed è diventata il più grande mercato secondario nel campo dello storage con le sue velocità di lettura e scrittura estremamente elevate. Dopo l'emergere dei feature phone, il mercato NOR Flash è esploso nell'era dei PC, secondo la domanda popolare per la capacità di storage La domanda è in crescita e NAND Flash a basso costo e ad alta capacità è diventata la scelta migliore.

Oggi, con l’ascesa dell’ondata di intelligenza artificiale, HBM è all’avanguardia.

La tecnologia di storage si è sviluppata e modificata negli ultimi 50 anni e ora ha gradualmente formato i principali settori di DRAM, Flash e SRAM.

Tuttavia, poiché la tecnologia di produzione dei semiconduttori continua a spostarsi verso nodi tecnologici più piccoli, gli scenari applicativi emergenti hanno presentato requisiti più elevati per l’archiviazione dei dati in termini di velocità, consumo energetico, capacità, affidabilità, ecc., e gli svantaggi della continua innovazione tecnologica nei sistemi tradizionali Da allora, DRAM, NAND Flash, ecc. hanno iniziato ad affrontare sfide sempre più gravi, insieme alla discrepanza nella velocità di sviluppo tra queste tecnologie di archiviazione e le unità di elaborazione logiche, ciò ha gravemente limitato l'ulteriore miglioramento delle prestazioni di elaborazione. ed efficienza energetica.

Pertanto, l’industria ha iniziato a riporre grandi speranze nelle tecnologie di storage emergenti, e sempre più tecnologie emergenti stanno emergendo rapidamente.

Attualmente, l’industria si concentra principalmente su quattro tipi di memorie emergenti: memoria ferroelettrica (FeRAM/FRAM), memoria resistiva (ReRAM/RRAM), memoria magnetica (MRAM) e memoria a cambiamento di fase (PCM).Queste tecnologie di memoria emergenti mirano a integrare la velocità di commutazione della SRAM e le caratteristiche di alta densità della DRAM, con le caratteristiche non volatili della Flash.

Di fronte al conflitto tra vecchie e nuove tecnologie, può continuare l’attuale tendenza al dominio del settore da parte di DRAM e NAND? Oppure le tecnologie di memoria emergenti come FeRAM, ReRAM, MRAM o PCM la sostituiranno? Quale sarà l’andamento e il destino del mercato dello storage nel futuro?

Memoria ferroelettrica FeRAM, nei guai?

Il 28 luglio 2022, 7 anni dopo il lancio della tecnologia di memoria 3D XPoint, Intel ha annunciato che avrebbe chiuso la propria attività di memoria Optane. Per il settore dello storage, questa notizia potrebbe non essere una sorpresa. Essendo il primo prodotto commerciale di memoria non volatile di Intel, i progressi commerciali di Optane non sono stati regolari e la sua produzione non raggiungerà mai un livello in cui i prezzi dei chip siano ragionevoli.

Pertanto, Aoteng non può sfuggire alla fine del fallimento.

FeRAM è un'altra nuova tecnologia candidata alla memoria. Per anni, l’industria della memoria ha sviluppato FeRAM e altre tecnologie di memoria di prossima generazione volte a colmare i limiti e le lacune tecnologiche della memoria tradizionale.

FeRAM, il nome completo è Ferroelectric RAM (ferroelectric random access memory), nota anche come memoria ferroelettrica. FeRAM utilizza materiali cristallini ferroelettrici come supporti di memorizzazione e sfrutta le caratteristiche del caratteristico ciclo di isteresi nella relazione tra tensione e corrente dei materiali cristallini ferroelettrici per ottenere la memorizzazione delle informazioni.

Diagramma della struttura FeRAM

I prodotti FeRAM combinano le caratteristiche di archiviazione dati non volatile della ROM con i vantaggi di lettura e scrittura illimitata, lettura e scrittura ad alta velocità e basso consumo energetico della RAM.

FeRAM ha le seguenti caratteristiche tecniche:

Non volatile:La caratteristica più notevole di FeRAM è che i suoi dati non andranno persi dopo un'interruzione di corrente ed è una memoria non volatile;

Lettura e scrittura ad alta velocità:FeRAM ha velocità di lettura e scrittura relativamente elevate, con tempi di accesso solitamente intorno ai 50 ns e tempi di ciclo intorno ai 75 ns, il che lo rende vantaggioso in situazioni in cui è richiesto un accesso rapido ai dati;

Lunga vita:FeRAM ha un'elevata resistenza in lettura e scrittura, in genere in grado di raggiungere miliardi di cicli di lettura e scrittura, superando di gran lunga la tradizionale EEPROM e la memoria flash;

Basso consumo energetico:Poiché FeRAM non richiede energia aggiuntiva per mantenere lo stato dei dati durante la memorizzazione dei dati, il consumo energetico è relativamente basso;

Alta affidabilità:Compatibile con processo CMOS, ampio intervallo di temperature operative, alta affidabilità.

FeRAM ha una bassa densità di archiviazione e una capacità limitata. Sebbene non possa sostituire completamente DRAM e NAND Flash, ha un potenziale di sviluppo in scenari che non richiedono elevata capacità, velocità e frequenza di lettura e scrittura elevate e una lunga durata orologi, settori dell'elettronica di consumo come smart card e dispositivi IoT, nonché automobili e robot industriali.

Guardando indietro alla storia dello sviluppo, gli scienziati proposero il concetto di materiali ferroelettrici già nel 1920, uno studente di master presso il Massachusetts Institute of Technology propose per primo il concetto di utilizzare condensatori ferroelettrici come elementi di memorizzazione dei dati in un articolo nel 1991; la società americana Ramtron (acquisita da Cypress) ha iniziato a produrre prodotti FeRAM di basso livello con struttura 2T/2C nel 1993, Ramtron ha sviluppato il primo prodotto FeRAM da 4Kb prodotto in serie nel 1996, Hitachi ha sviluppato FeRAM da 256kb e lo ha lanciato a dicembre dello stesso anno Inserito.

Da allora, lo sviluppo e l’applicazione di FeRAM hanno aperto un nuovo capitolo.

FeRAM, i progressi sono lenti?

Attualmente, i principali attori nel mercato FeRAM includono Infineon (acquisita da Cypress), Fujitsu, Texas Instruments, IBM e Micron, ecc. Queste aziende forniscono molteplici soluzioni per applicazioni come contatori intelligenti, sistemi automobilistici, dispositivi indossabili e dispositivi di memoria ferroelettrici .

Fujitsu produce prodotti di memoria ferroelettrica ad alta velocità per applicazioni automobilistiche e industriali e li fornisce al mercato industriale da oltre 20 anni. Texas Instruments fornisce microcontrollori basati su FeRAM per dispositivi IoT e dispositivi indossabili. IBM fornisce applicazioni server. Fornisce tecnologia di memoria ferroelettrica; Infineon si concentra sulla fornitura di soluzioni di memoria ferroelettriche per sistemi di controllo automobilistici e industriali.

Nel dicembre 2023, Micron ha divulgato i risultati della ricerca e dello sviluppo della NVDRAM 3D da 32 Gb alla conferenza IEEE IEDM, che è molto più grande dei precedenti prodotti da 8 Mb di Fujitsu e SK Hynix, nonché dei prodotti da 16 Mb di Infineon e da 128 Mb di Toshiba.

È stato riferito che la memoria NVDRAM si basa sul principio della ferroelettricità e può raggiungere un'elevata durabilità e una bassa latenza vicina alla DRAM pur avendo una non volatilità simile alla memoria flash NAND. Questa memoria emergente utilizza lo stacking 3D a doppio strato e la sua densità di capacità di 32 Gb stabilisce un nuovo record per la memoria ferroelettrica. Micron ha testato campioni NVDRAM basati sulla specifica LPDDR5 e ritiene che sia adatto per carichi AI, ma i tempi di produzione di massa non sono noti.

Già nel marzo 2021, Micron aveva dichiarato quando si ritirò dal mercato Optane 3D XPoint: "Micron prevede di applicare la conoscenza acquisita dalle scoperte del programma 3D XPoint, nonché le relative competenze e risorse ingegneristiche, a soluzioni incentrate sulla memoria. prodotti emergenti destinati al livello di archiviazione della memoria, FeRAM potrebbe essere stato l’obiettivo”.

Inoltre, Jita Semiconductor ha anche unito le forze con Wuxi Shunming Storage Technology Priority Company, un fornitore nazionale emergente di memorie ferroelettriche, per lanciare il primo prodotto nazionale di memoria ferroelettrica emergente da 110 nm nel dicembre 2023. Rispetto alla tecnologia esistente, la nuova area di prodotto è più piccola. 40%~60%, le prestazioni sono state notevolmente migliorate e si prevede che sarà ufficialmente prodotto in serie nel primo trimestre del 2024.

La tecnologia emergente del processo di memoria ferroelettrica di Jita Semiconductor

Con le sue numerose funzionalità, si prevede che FeRAM diventi una delle future direzioni di sviluppo della memoria.

Tuttavia, il suo sviluppo deve affrontare anche alcune sfide: in primo luogo, la resa di FeRAM è influenzata dalla limitazione delle dimensioni dell'array e deve essere ulteriormente migliorata, in secondo luogo, FeRAM potrebbe subire un degrado della durabilità dopo aver raggiunto un certo numero di cicli di lettura, che ne richiede l'uso; della scienza dei materiali e il progresso dei processi produttivi; inoltre, il costo di produzione del FeRAM è relativamente elevato e deve essere ridotto con l’espansione della scala di produzione e del progresso tecnologico.

Alcuni nel settore ritengono che FeRAM e Optane si trovino di fronte allo stesso dilemma: non esiste un modo fattibile per raggiungere la produzione di massa e quindi ridurre il costo di ciascun chip a un livello accessibile, e i progressi sono attualmente lenti.

Micron ha affermato che se il mercato è sufficientemente attraente, Micron può produrre prodotti NVDRAM da vendere, ma solo se ritiene che il rendimento del capitale sulla tecnologia sarà superiore rispetto all'investimento in NAND o DRAM.

Si tratta di una richiesta molto importante per il mercato di nicchia delle memorie embedded.

Se i grandi produttori di storage come Micron, SK Hynix e Samsung non adottano la memoria FeRAM su larga scala, la strada da percorrere per le startup sarà ancora lunga per sviluppare e dimostrare la tecnologia dei loro prodotti attraverso la collaborazione con le fonderie. Fino a quando non si verificheranno queste circostanze, FeRAM potrà rimanere solo nei laboratori di ricerca degli istituti tecnologici e non potrà essere commercializzata su larga scala.

La memoria emergente ReRAM sta diventando mainstream

Rispetto a FeRAM, i dispositivi ReRAM sono stati sviluppati e commercializzati attivamente, continuamente e con successo. Automotive, IoT e altre applicazioni sono tra i fattori trainanti della crescita di ReRAM.

ReRAM, il nome completo è Resistive Random Access Memory (memoria ad accesso casuale resistivo), denominata memoria di commutazione resistiva o RRAM.

ReRAM è una memoria non volatile basata sulla resistenza di materiali non conduttivi che può essere convertita in modo reversibile tra uno stato ad alta resistenza e uno stato a bassa resistenza sotto l'azione di un campo elettrico esterno. Essendo la tecnologia di archiviazione più semplice, la struttura ReRAM assomiglia a un sandwich. Uno strato dielettrico isolante (strato di commutazione resistivo) è inserito tra due strati di metallo, formando uno strato di metallo-dielettrico composto da elettrodi superiori e inferiori e uno strato di commutazione resistivo. (MIM) struttura a tre strati.

Il filamento conduttivo presenta due stati di acceso o spento nello strato resistivo: uno stato non volatile a bassa resistenza o uno stato ad alta resistenza, realizzando così la distinzione e la memorizzazione degli stati "0" e "1".

ReRAM comprende molte categorie tecnologiche diverse. Gli attuali percorsi tecnologici tradizionali includono principalmente: memoria di posti vacanti di ossigeno OxRAM, memoria di ponti conduttivi CBRAM, memoria di ioni metallici MeRAM e nanotubi di carbonio CaRAM, solitamente spostando elementi conduttivi come ioni metallici o posti vacanti di ossigeno nei ponti, o. rimuovendoli dai ponti esistenti, per rappresentare 1 o 0.

Caratteristiche della tecnologia ReRAM:

Ad alta velocità:La velocità di cancellazione e scrittura della ReRAM è determinata dall'ampiezza dell'impulso che attiva la variazione di resistenza, che generalmente è inferiore a 100 ns;

Forte durabilità:La lettura e la scrittura di ReRAM sono diverse dalla NAND in quanto adotta una modalità reversibile e senza danni, che può prolungarne notevolmente la durata;

Capacità di archiviazione multi-bit:Alcuni materiali ReRAM hanno anche più stati di resistenza, consentendo a una singola cella di memoria di archiviare più bit di dati, aumentando così la densità di archiviazione;

ReRAM combina la velocità di lettura e scrittura della DRAM con la non volatilità della NAND. Se misurata in modo completo in termini di densità, rapporto di efficienza energetica, costo, processo e resa, la memoria ReRAM presenta evidenti vantaggi rispetto alle memorie emergenti esistenti.

Con molteplici vantaggi, ReRAM viene ora integrata in un numero sempre maggiore di processi come memoria non volatile incorporata (NVM), da 130 nm a 22 nm e inferiori, e viene utilizzata in auto intelligenti, edge AI, MCU, PMIC, ecc. Ha le caratteristiche di basso consumo energetico, basso costo, indirizzabilità dei byte, scalabilità verso nodi avanzati e forte adattabilità a varie condizioni ambientali.

Inoltre, il brain-inspired computing basato su ReRAM può anche superare il collo di bottiglia dell’architettura informatica di von Neumann nel medio e lungo termine ed è considerato una delle migliori scelte per raggiungere l’integrazione di storage ed elaborazione.

In queste aree, i requisiti di elaborazione e archiviazione rapida dei dati sono fondamentali.

La corsa per creare una tecnologia ReRAM altamente integrata, efficiente dal punto di vista energetico e orientata alle prestazioni porta sviluppi entusiasmanti alle future soluzioni di memoria per una varietà di applicazioni. Ad esempio, nelle auto senza conducente o nei robot industriali intelligenti, ReRAM, con il suo basso consumo energetico e la sua durabilità, può gestire operazioni complesse di dati con un basso consumo energetico e garantire persistenza e affidabilità dei dati. Queste caratteristiche offrono a ReRAM ampie prospettive applicative nel futuro mercato dell’intelligenza artificiale edge.

ReRAM, accelerato il progresso della commercializzazione

In effetti, ReRAM non è un concetto nuovo, ha iniziato a ricevere attenzione già negli anni '60 e '70.

Dal punto di vista industriale, Panasonic, Renesas, Western Digital, Fujitsu, Samsung, Sony, Adesto e Crossbar sono i principali produttori nel campo ReRAM. In termini di fonderie, SMIC, TSMC, UMC, GlobalFoundries, ecc. stanno sviluppando o fornendo processi ReRAM per i clienti delle fonderie.

La sua traiettoria di sviluppo è più o meno la seguente: nel 2013, Panasonic e Adesto hanno lanciato prodotti ReRAM con processi rispettivamente a 180 nm e 130 nm, nel 2015 Fujitsu e Panasonic hanno lanciato congiuntamente un chip ReRAM con capacità di 4 Mb nel 2017, Crossbar e SMIC hanno lanciato un chip con capacità di 40 nm e 8 Mb; E sulla base di ciò, è entrata nel mercato ReRAM; nel 2018, Adesto ha lanciato nuovi prodotti con processo a 130 nm; nel 2019, Crossbar e Microsemi hanno lanciato eReRAM a 28 nm e Fujitsu ha lanciato il chip ReRAM MB85AS8MT con capacità di 8 Mb, espandendo ulteriormente il mercato intorno al 2020 , Intel ha lanciato prodotti eReRAM utilizzando il processo 22FFL da allora fino al 2024, molte aziende hanno rilasciato più prodotti ReRAM da 40 nm, continuando a far avanzare la tecnologia ReRAM;

Nel luglio di quest'anno, Visionox, produttore nazionale di pannelli principali, ha completato lo sviluppo e la certificazione del primo chip driver display AMOLED al mondo che utilizza la tecnologia di archiviazione ReRAM incorporata.

Secondo i rapporti, questo è il primo chip driver del display che utilizza la tecnologia di archiviazione RRAM incorporata in AMOLED. Rispetto al chip driver display tradizionale esistente che utilizza una soluzione SRAM + Flash esterna integrata per ottenere la funzione di compensazione Demura, questo nuovo chip driver rimuove direttamente il tradizionale chip Flash esterno, risolvendo efficacemente i problemi di costo elevato del dispositivo esterno e parametri di compensazione lettura che esistono nei chip tradizionali. Supera problemi come la bassa velocità e offre vantaggi come costi inferiori, area più piccola e maggiore efficienza. Si tratta di un importante passo avanti nella tecnologia dei chip dei driver dei display AMOLED.

A maggio, la società di analisi TechInsights ha riferito che l'ultimo chip di memoria a commutazione resistiva incorporata (eRRAM) 22ULL ​​di TSMC ha compiuto importanti progressi nella tecnologia di archiviazione. Il chip viene utilizzato nei nuovi chip di sistema della serie nRF54L di Nordic Semiconductor, diventando una parte wireless a basso consumo. la soluzione IoT, questo è il prodotto eRRAM di seconda generazione di TSMC, che presenta la prima tecnologia CMOS a 22 nm del settore e si dice che sia paragonabile alla STT-MRAM incorporata.

A marzo, Xinyuan Semiconductor ha investito in ByteDance ed è anche una società focalizzata sulla ricerca e sullo sviluppo della tecnologia di storage emergente ReRAM e dei relativi chip, che copre controllo industriale ad alte prestazioni, chip SoC/ASIC per il settore automobilistico, IP e chip CIM (storage and computing integrati). e sistemi. chip SoM (level storage) e altre aree di applicazione.

È stato riferito che Xinyuan Semiconductor ha padroneggiato la tecnologia integrata a circuito chiuso, coprendo i materiali dei dispositivi, i processi di processo, la progettazione dei chip, la progettazione IP e la produzione pilota di massa, e ha costruito la prima linea di produzione pilota back-end pilota da 12 pollici ReRAM con processo avanzato nella terraferma Cina e lancio della serie "Xin·Shanwen" di prodotti di archiviazione sicura ReRAM che hanno raggiunto la produzione commerciale di massa nel campo del controllo dell'automazione industriale.

Nell'agosto 2023, il team dell'accademico Liu Ming dell'Istituto di microelettronica dell'Accademia cinese delle scienze ha proposto una struttura di calcolo in-memory RRAM emergente con elevato parallelismo e elevato rapporto di prestazioni. A livello di dispositivo, il team ha proposto una struttura di array di archiviazione e calcolo con un memristor a due transistor pesato (WH-2T1R), che riduce l'impatto degli effetti parassiti sulla corrente di calcolo e riduce il consumo energetico delle operazioni di accumulo multiplo. A livello di circuito, viene proposto un circuito di lettura dell'amplificatore di rilevamento in modalità corrente con riduzione della corrente di riferimento, che riduce significativamente il consumo energetico del circuito di lettura. A livello di mappatura degli algoritmi, il team ha proposto una strategia di mappatura con ridondanza dei dati a bit elevato (MSB_RSM) per migliorare la precisione del calcolo. Queste soluzioni sono state verificate sul processo integrato a 28 nm sviluppato in modo indipendente dal team. L'efficienza energetica media della struttura di calcolo in memoria RRAM nell'attività ResNet-18 raggiunge 30,34 TOPS/W e può essere aumentata a 154,04 TOPS/W. ottimizzando i tempi di lettura. I risultati della ricerca sono stati pubblicati sul "IEEE Journal of Solid-State Circuits".

Nell'agosto 2023, Houmo Intelligent ha annunciato il completamento dei test e dello sviluppo di scenari applicativi del primo chip di memoria RRAM di grande capacità disponibile in commercio.

C'è anche la tecnologia Yizhu, che si concentra sullo sviluppo di chip di grande potenza di calcolo AI di archiviazione completamente digitale e integrati basati su ReRAM. Nel 2023, Yi Zhu Technology ha sviluppato con successo il primo chip POC ad alta precisione, archiviazione a basso consumo e calcolo integrato AI di grande potenza di calcolo basato su memristor RRAM (ReRAM). Si basa su processi di produzione tradizionali ed è stato verificato da terzi. istituzioni di partito con eccellenti prestazioni di efficienza energetica Più di 10 volte di più rispetto ai chip AI con architettura tradizionale.

Inoltre, anche Weebit Nano, una joint venture franco-israeliana fondata nel 2015, si concentra da molti anni sulla tecnologia di memoria ReRAM.

Weebit Nano ha collaborato con la fonderia di semiconduttori CMOS Skywater per fornire i suoi moduli ReRAM ai clienti Skywater e ha prodotto un chip dimostrativo completamente funzionale.

Al Flash Memory Summit dell'agosto 2023, Amir Regev, vicepresidente della qualità e dell'affidabilità di Weebit Nano, ha introdotto le tendenze di sviluppo del mercato delle ReRAM integrate e il progresso tecnologico e i risultati di Weebit Nano in questo campo. Ha sottolineato che si prevede che il mercato emergente delle memorie non volatili (NVM) raggiungerà i 2,7 miliardi di dollari entro il 2028, con le ReRAM che dovrebbero rappresentare il 37% della quota di mercato, soprattutto nelle applicazioni embedded, mentre le spedizioni di MCU cresceranno. % del volume del wafer.

Attualmente, Weebit implementa un modello di operazione commerciale concedendo in licenza la proprietà intellettuale ad aziende e fabbriche di semiconduttori. La sua tecnologia ReRAM è stata verificata sul silicio sul nodo di processo da 28-130 nm e ha completato con successo il tapeout sulla piattaforma 22FDX di GlobalFoundries, il cui avvio è previsto nel 2024. produzione.

I moduli ReRAM di Weebit prodotti presso SkyWater hanno completato le qualifiche chiave, segnando un'importante pietra miliare nella maturità della tecnologia ReRAM.

Weebit Nano ha affermato che la memoria emergente ReRAM sta diventando mainstream.

Oltre ai campi applicabili sopra, il calcolo neuromorfico è un altro potenziale campo di applicazione di ReRAM.

Alcune aziende, come Facebook e Google, hanno sviluppato sistemi di apprendimento automatico che utilizzano le reti neurali. Le reti neurali aiutano i sistemi, molti dei quali utilizzano FPGA e GPU con memoria basata su SRAM, a elaborare i dati e a riconoscere modelli. L'industria delle memorie sta sviluppando ReRAM per quest'area, che è molto più densa rispetto alle architetture GPU/SRAM.

Ma i sistemi neuromorfici richiedono il collegamento in cascata di più dispositivi ReRAM impilati. Prima che ReRAM possa entrare nel mercato, l’industria delle memorie deve prima padroneggiarla su piccola scala.

Man mano che la Legge di Moore rallenta, diventa sempre più importante trovare tecnologie di storage efficienti in grado di soddisfare le esigenze dell'informatica di prossima generazione. In questo contesto, ReRAM ha mostrato un grande potenziale.

Sebbene la tecnologia ReRAM sia promettente come soluzione di memoria di prossima generazione, deve ancora affrontare alcune sfide che ne ostacolano l’adozione su vasta scala. Il primo è il problema del "percorso nascosto", che causa perdite di corrente e può portare a letture errate della memoria, in secondo luogo ci sono preoccupazioni sulla formazione e la stabilità dei posti vacanti di ossigeno, che sono fondamentali per il meccanismo di commutazione resistiva su cui si basa ReRAM; Inoltre, anche la creazione di un campo elettrico uniforme all'interno della cella di memoria per una commutazione affidabile rappresenta un ostacolo alla progettazione. Ancora una volta, sebbene ReRAM offra efficienza energetica rispetto alle memorie tradizionali come la memoria flash, migliorare questo attributo per soddisfare le esigenze delle applicazioni a bassissimo consumo rappresenta un ostacolo tecnico.

Nel breve termine, ReRAM non sostituirà la NAND o altre memorie mainstream, ma troverà il suo posto, soprattutto nei sistemi embedded e in altri ambiti che richiedono elevate prestazioni, basso consumo energetico, miniaturizzazione e soluzioni di storage ad alta densità.

MRAM si distingue

Oltre a FeRAM e ReRAM, sono state ampiamente discusse anche altre tecnologie di memoria emergenti come MRAM e PCM. Ognuna di queste tecnologie presenta vantaggi e scenari applicativi unici, ma affronta anche le proprie sfide.

In un panorama tecnologico in continua evoluzione, alcune innovazioni si distinguono per il loro potenziale di rimodellare i settori e ridefinire gli standard di prestazione. La memoria ad accesso casuale magnetoresistivo (MRAM) rappresenta un importante passo avanti.

MRAM, Magnetic RAM, conosciuta anche come memoria magnetica, è una tecnologia basata sull'effetto tunneling della magnetoresistenza.

La MRAM utilizza le giunzioni tunnel magnetiche (MTJ) come unità di memoria di base. Ogni MTJ è composto da due strati di materiali magnetici racchiusi da un sottile strato isolante. A seconda che le direzioni di magnetizzazione dei due strati di materiali magnetici siano coerenti, l'MTJ mostra diversi valori di resistenza per memorizzare le informazioni. La MRAM combina le prestazioni di lettura e scrittura ad alta velocità della DRAM con le caratteristiche non volatili della SRAM. Presenta inoltre i vantaggi di basso consumo energetico, elevata durata, ampio intervallo di temperature operative e cicli di cancellazione e scrittura quasi illimitati.

Diagramma schematico della MRAM

Caratteristiche tecniche MRAM:

Non volatile:Il magnetismo dei ferromagneti non scomparirà a causa di un'interruzione di corrente e la MRAM non è volatile;

Tempi di lettura e scrittura illimitati:Il magnetismo dei ferromagneti non solo non scompare quando si spegne l'alimentazione, ma si ritiene quasi che non scompaia mai. Pertanto, MRAM e DRAM possono essere riscritti all'infinito;

Velocità di scrittura elevata e basso consumo energetico:Il tempo di scrittura della MRAM può arrivare fino a 2,3 ns e il consumo energetico è estremamente basso, il che può consentire l'accensione e lo spegnimento istantanei e prolungare la durata della batteria dei computer portatili;

Elevata integrazione con chip logici:Le unità MRAM possono essere facilmente integrate nei chip del circuito logico e solo uno o due passaggi che richiedono una maschera fotolitografica vengono aggiunti al processo di metallizzazione back-end. Inoltre, le celle MRAM possono essere completamente realizzate nello strato metallico del chip e possono essere impilati anche 2-3 strati di celle, quindi ha il potenziale per costruire array di memoria su larga scala su circuiti logici.

La ricerca MRAM si concentra sul miglioramento della densità di archiviazione, sulla riduzione dell'energia di scrittura, sull'aumento delle velocità di lettura e scrittura e sul miglioramento della compatibilità e dell'efficienza in termini di costi del processo di produzione. STT-MRAM è diventata un punto caldo della ricerca grazie alla sua corrente di scrittura inferiore e alla migliore scalabilità, mentre SOT-MRAM ha attirato l'attenzione per il suo potenziale di ottenere un consumo energetico inferiore e velocità di commutazione più elevate.

MRAM è una memoria non volatile che è più veloce, più durevole e consuma meno energia rispetto alle tecnologie tradizionali e sta guadagnando terreno in una varietà di settori tra cui quello automobilistico, industriale, dei dispositivi indossabili, aerospaziale e della difesa.

In genere, le richieste di brevetto sono un chiaro segno che una tecnologia sta ricevendo molta attenzione: quanto più rilevante è una tecnologia per le applicazioni commerciali, maggiore è il numero di domande di brevetto.

Secondo le statistiche di LexisNexis, il numero di domande di brevetto nel mercato MRAM è rimasto stabile dal 2004 al 2013, con circa 300-400 domande di brevetto ogni anno. Nel 2011 si è verificato un picco. Ulteriori indagini hanno rivelato che Toshiba ha aumentato le sue richieste di brevetti in quest'area tecnologica nel 2011, portando a questa impennata.

Tendenze dei depositi di brevetti e pubblicazioni IEEE nel campo MRAM negli ultimi 20 anni

Vale la pena notare che il calo alla fine del grafico non rappresenta un calo di interesse, ma piuttosto il ritardo tra il deposito del brevetto e la pubblicazione.

Resta inteso che, tenendo conto della dimensione del portafoglio brevetti, LexisNexis ha diviso le prime 10 aziende in tre categorie:

Proprietari di forti portafogli di brevetti: Samsung, Kioxia e TSMC;

Seguaci: TDK e IBM;

altre società.

Qualità delle 10 principali aziende nel campo MRAM per forza del portafoglio brevetti

MRAM, potenzialità commerciali evidenziate

Guardando indietro alla storia dello sviluppo di MRAM, già nel 2002, TSMC ha firmato un piano di sviluppo della cooperazione MRAM con il Taiwan Industrial Research Institute.

La prima MRAM commercializzata è stata la Toggle-MRAM con capacità di 4 Mb prodotta da Freescale Semiconductor nel 2006, e anche altre società hanno lanciato prodotti correlati. Toshiba ha annunciato nel 2014 che avrebbe sostituito la SRAM con STT-MRAM, riducendo il consumo energetico della cache; il dispositivo è stato ridotto di quasi il 60%; nel 2017, l'Università di Aeronautica e Astronautica di Pechino e l'Istituto di Microelettronica dell'Accademia Cinese delle Scienze hanno preparato insieme con successo il primo dispositivo STT-MRAM da 80 nanometri del paese.

Nel giugno 2023, il gruppo di ricerca Spin Quantum Materials and Devices del laboratorio sui materiali del lago Songshan di Shenzhen ha collaborato con l'Università della California, a Los Angeles, l'Università di scienza e tecnologia King Abdullah, l'Università di scienza e tecnologia elettronica della Cina e l'Istituto di Fisica, Accademia cinese delle scienze, per realizzare un dispositivo di memoria SOT-MRAM più avanzato e basato su isolante topologico che combini un'elevata densità di archiviazione con una giunzione tunnel con anisotropia magnetica perpendicolare (pMTJ). TSMC ha sviluppato linee di prodotti MRAM correlate come il processo a 16/12 nm.

Sempre nel 2023, NXP ha collaborato con TSMC per sviluppare congiuntamente la prima MRAM integrata FinFET automobilistica da 16 nanometri del settore per supportare la prossima generazione di architettura automobilistica. Questa collaborazione evidenzia la crescente importanza della MRAM nel settore automobilistico, con l’obiettivo di migliorare le prestazioni e l’affidabilità delle applicazioni automobilistiche avanzate.

Il 18 gennaio di quest'anno, TSMC e l'Istituto di ricerca industriale hanno annunciato lo sviluppo di successo dei chip array SOT-MRAM, segnando un importante passo avanti nel campo della tecnologia di memoria MRAM di prossima generazione. Questo prodotto innovativo non solo utilizza un'architettura informatica avanzata, ma il suo consumo energetico è solo l'1% rispetto alla tecnologia simile STT-MRAM.

Inoltre, TSMC sta esplorando attivamente SOT-MRAM e VC-MRAM e collaborando con laboratori di ricerca esterni, consorzi e partner accademici.

Le 10 migliori aziende con maturità innovativa nel campo MRAM

Quasi contemporaneamente a TSMC, Samsung annunciò il suo piano di sviluppo MRAM nel 2002. Nel 2005, Samsung ha preso la guida nella ricerca e nello sviluppo di STT-MRAM. Questa tecnologia si è poi rivelata in grado di soddisfare i requisiti prestazionali della cache di ultimo livello nel campo del calcolo ad alte prestazioni ed è stata considerata un potente strumento per farlo. sfondare il mercato di nicchia.

All'inizio del 2022, Samsung Electronics ha pubblicato la prima ricerca al mondo sull'in-memory computing basata su MRAM sulla prestigiosa rivista accademica Nature. Alla SFF 2023 in Europa, Samsung ha annunciato la sua visione di rivoluzionare la tecnologia automobilistica di prossima generazione e prevede di sviluppare la prima eMRAM da 5 nm di Samsung. Oltre a lanciare eMRAM da 14 nm entro il 2024, l'azienda prevede anche di espandere ulteriormente il proprio portafoglio di prodotti eMRAM con 8 nm entro il 2026 e 5 nm entro il 2027. Rispetto al processo a 14 nm, si prevede che l’eMRAM da 8 nm aumenterà la densità del 30% e la velocità del 33%.

Tra tutte queste tecnologie di memoria emergenti, MRAM è una delle tecnologie con il maggiore potenziale commerciale.

Sebbene la memoria MRAM presenti i vantaggi della durabilità e della produzione di massa, la memoria MRAM non è esente da difetti, ma deve affrontare anche molte sfide, come il complesso sistema materiale del dispositivo reale, il basso rapporto di commutazione e il processo CMOS che deve essere pienamente compatibile. Inoltre, lo sviluppo della MRAM incontra ancora colli di bottiglia nel consumo dinamico di energia, nell’efficienza del ritardo energetico e nell’affidabilità.

In generale, la tecnologia MRAM è ancora lontana dall'essere matura e il suo vantaggio in termini di costi non è stato ancora evidenziato. Inoltre, si stanno sviluppando anche altre tecnologie di archiviazione emergenti. Si può solo dire che attualmente la MRAM è quella più promettente DRAM o NAND, MRAM ha ancora molta strada da fare.

PCM, aprendo un nuovo paradigma informatico

PCM, RAM a cambiamento di fase, nota anche come memoria a cambiamento di fase o PCRAM.

Il principio del PCM è convertire il materiale a cambiamento di fase tra uno stato cristallino (conduttivo) a bassa resistenza e uno stato amorfo (non conduttivo) ad alta resistenza modificando la temperatura e utilizzare la differenza di conduttività tra i due stati per distinguere " 0 e 1". Ciò consente la memorizzazione dei dati.

Diagramma schematico del PCM

PCM ha la non volatilità della NAND e l'elevata velocità di lettura e scrittura e la lunga durata della DRAM Presenta inoltre i vantaggi di bassa latenza, alta densità, basso consumo energetico e compatibilità con la tecnologia CMOS memoria e memoria principale Si prevede che la possibilità del due in uno verrà applicata in futuro in data center, server, Internet delle cose e altri scenari ad alte prestazioni.

Caratteristiche tecniche del PCM:

Bassa latenza, tempi di lettura e scrittura bilanciati:PCM non ha bisogno di cancellare il codice o i dati precedenti prima di scrivere il codice di aggiornamento, quindi la velocità di lettura e scrittura del PCM è migliorata rispetto a NAND Flash e il tempo di lettura e scrittura è più bilanciato;

Lunga vita:La lettura e la scrittura del PCM non è distruttiva, quindi la sua resistenza alla scrittura supera di gran lunga quella della memoria flash. L'utilizzo del PCM per sostituire i tradizionali dischi rigidi meccanici offre una maggiore affidabilità;

Basso consumo energetico:PCM non dispone di un dispositivo rotante meccanico e non richiede corrente di aggiornamento per salvare codice o dati. Pertanto, il consumo energetico di PCM è inferiore a quello di HDD, NAND e DRAM.

Alta densità:Alcuni PCM adottano progetti senza transistor per ottenere uno storage ad alta densità;

Buona resistenza alle radiazioni:La tecnologia di stoccaggio PCM non ha nulla a che fare con lo stato delle particelle cariche nel materiale, quindi ha una forte resistenza alle radiazioni spaziali e può soddisfare le esigenze della difesa nazionale e dell'aerospaziale.

Al momento non è stato trovato alcun limite fisico chiaro per il PCM. La ricerca mostra che anche se il materiale a cambiamento di fase viene ridotto a uno spessore di 2 nm, il dispositivo di memoria può ancora subire un cambiamento di fase. Pertanto, il PCM potrebbe risolvere il problema del limite fisico della tecnologia di memoria e diventare in futuro uno dei dispositivi di memoria a semiconduttore di nuova generazione.

Nel 2006, Intel ha collaborato con Samsung per produrre il primo chip PCM commerciale. Nel 2015, Intel e Micron hanno sviluppato congiuntamente un rivoluzionario chip di memoria PCM: 3D Xpoint. La prima ha chiamato la tecnologia Optane e la seconda l'ha chiamata QuantX.

La tecnologia 3D Xpoint ha raggiunto una svolta rivoluzionaria nel campo della memoria non volatile Sebbene la sua velocità sia leggermente inferiore alla DRAM, la sua capacità è superiore alla DRAM e 1.000 volte più veloce della memoria flash.

Ma anche i suoi difetti sono evidenti. 3D Xpoint adotta una struttura impilabile. Poiché più strati vengono impilati, più maschere sono necessarie e, nell’intero settore della produzione di circuiti integrati, le maschere rappresentano il costo maggiore. Pertanto, dal punto di vista produttivo, è molto difficile ottenere una struttura sovrapposta 3D con decine di strati.

Con la chiusura del business delle memorie Optane di Intel, anche la tecnologia di memoria 3D XPoint è giunta al termine.

Tuttavia, l’industria sta ancora sviluppando la tecnologia PCM. All'inizio del 2022, l'Istituto per i materiali e i dispositivi di memorizzazione delle informazioni (ISMD) della Scuola di circuiti integrati dell'Università di scienza e tecnologia di Huazhong e il Centro per l'innovazione e il design dei materiali (CAID) dell'Università di Xi'an Jiaotong hanno sviluppato una memoria a cambiamento di fase con una struttura amorfa a rete, con un consumo energetico di 0,05 Sotto pJ, il consumo energetico è mille volte inferiore a quello dei prodotti tradizionali.

Nell'aprile di quest'anno, l'Accademia coreana della scienza e della tecnologia ha annunciato che un gruppo di ricerca guidato dal professor Shinhyun Choi della Scuola di ingegneria elettrica ha sviluppato un dispositivo di memoria a cambiamento di fase di prossima generazione. I risultati rilevanti sono stati pubblicati sulla prestigiosa rivista Nature Il titolo dell'articolo è: "Memoria a cambiamento di fase tramite un nanofilamento autoconfinato a fase modificabile".

L'articolo presenta un nuovo dispositivo PCM che utilizza nanofili SiTex a fase modificabile per ridurre efficacemente la corrente di ripristino del PCM. Questo design innovativo può ridurre significativamente la corrente di ripristino senza sacrificare i costi di produzione. Nello specifico, il PCM a nanofilamento sviluppato presenta una corrente di reset ultrabassa di circa 10 μA, che è da uno a due ordini di grandezza inferiore rispetto ai PCM convenzionali altamente scalabili.

Questa svolta nella tecnologia PCM segna un passo importante per l'industria nell'apertura di un nuovo paradigma informatico, in particolare per quelle applicazioni che possono trarre vantaggio dalle caratteristiche uniche del PCM.

Sebbene il PCM presenti molti vantaggi, presenta anche alcuni evidenti limiti e attualmente presenta numerosi colli di bottiglia nelle applicazioni, che ne determinano una commercializzazione stagnante. Innanzitutto, poiché il processo di conservazione del PCM si basa sulla regolazione della temperatura ed è altamente sensibile alla temperatura, non può essere applicato a scenari di temperatura ampi. In secondo luogo, la memoria PCM adotta una struttura multistrato per essere compatibile con il processo CMOS, risultando in una densità di archiviazione troppo bassa per soddisfare i requisiti di capacità per la sostituzione della NAND Flash. Inoltre, anche i costi e la resa sono diventati uno dei colli di bottiglia per la sua industrializzazione su larga scala.

scrivi alla fine

Da anni l’industria delle memorie è alla ricerca di una nuova tecnologia di storage.Che si tratti di FeRAM, ReRAM, MRAM o PCM, mirano tutti a risolvere in una certa misura i problemi di "performance wall" e "storage wall" dello storage tradizionale, rompere l'architettura di von Neumann ed eliminare i ritardi e i ritardi causati dai dati. accesso. consumo di energia per ottenere una maggiore potenza di calcolo e un rapporto di efficienza energetica, ma le caratteristiche tecniche specifiche e i livelli di commercializzazione dei quattro sistemi di storage emergenti sono diversi.

Confronto tra la tecnologia di storage tradizionale e la tecnologia di storage emergente

Sulla base dell'analisi di vari tipi di caratteristiche tecniche e dello stato del mercato, queste tecnologie emergenti attualmente non hanno la capacità di sostituire la memoria flash DRAM/NAND. Tuttavia, nell'era della crescita esplosiva dei dati, lo storage emergente ha prestazioni elevate, lunga durata, Si prevede che caratteristiche eccellenti come l'affidabilità e la resistenza alle alte temperature colmeranno il divario nel mercato delle memorie e diventeranno una nuova scelta nel campo delle memorie.

Come accennato all’inizio dell’articolo, sono state evidenziate le carenze della continua innovazione tecnologica nell’attuale percorso tradizionale. Il mercato ha urgente bisogno di prodotti di memoria in grado di soddisfare le esigenze di nuovi scenari e lo storage emergente ha una finestra di opportunità .

Tuttavia, dobbiamo essere vigili sul fatto che nel processo di sviluppo dello storage emergente, l’industria della memoria continua ad estendere la memoria flash DRAM e NAND, rendendo difficile per i nuovi tipi di memoria occupare un posto nel mercato.

Guardando al futuro, alcuni esperti del settore affermano che nessun singolo tipo di memoria è onnipotente e in grado di gestire tutte le applicazioni. Ogni tecnologia ha proprietà diverse ed è in grado di svolgere funzioni diverse. Si prevede che queste tecnologie di storage avanzate saranno le prime ad essere utilizzate in applicazioni in grado di riflettere e sfruttare i loro vantaggi unici.