nouvelles

Stockage émergent, le monde de la glace et du feu

2024-08-26

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Depuis les années 1970, la DRAM est entrée sur le marché commercial et est devenue la plus grande branche de marché dans le domaine du stockage avec ses vitesses de lecture et d'écriture extrêmement élevées. Après l'émergence des téléphones multifonctions, le marché NOR Flash a explosé à l'ère du PC ; pour la capacité de stockage La demande augmente et le Flash NAND haute capacité et peu coûteux est devenu le meilleur choix.

Aujourd’hui, avec la montée de la vague de l’IA, HBM ouvre la voie.

La technologie de stockage s'est développée et a changé au cours des 50 dernières années, et elle a progressivement formé les principaux domaines de la DRAM, de la Flash et de la SRAM.

Cependant, à mesure que la technologie de fabrication des semi-conducteurs continue d'évoluer vers des nœuds technologiques plus petits, de nouveaux scénarios d'application mettent en avant des exigences plus élevées en matière de stockage de données en termes de vitesse, de consommation d'énergie, de capacité, de fiabilité, etc., ainsi que les inconvénients de l'innovation technologique continue dans le domaine traditionnel. Depuis lors, les DRAM, NAND Flash, etc. ont commencé à faire face à des défis de plus en plus graves. Associée à l'inadéquation de la vitesse de développement entre ces technologies de stockage et les unités de calcul logiques, cela a sérieusement limité l'amélioration des performances informatiques. et l'efficacité énergétique.

Par conséquent, l'industrie a commencé à placer de grands espoirs dans les technologies de stockage émergentes, et de plus en plus de technologies émergentes émergent rapidement.

À l'heure actuelle, l'industrie se concentre principalement sur quatre types de mémoires émergentes : la mémoire ferroélectrique (FeRAM/FRAM), la mémoire résistive (ReRAM/RRAM), la mémoire magnétique (MRAM) et la mémoire à changement de phase (PCM).Ces technologies de mémoire émergentes visent à intégrer la vitesse de commutation de la SRAM et les caractéristiques haute densité de la DRAM, aux caractéristiques non volatiles de la Flash.

Face au conflit entre les anciennes et les nouvelles technologies, la tendance actuelle à la domination de l'industrie par la DRAM et la NAND peut-elle se poursuivre ? Ou les technologies de mémoire émergentes telles que FeRAM, ReRAM, MRAM ou PCM les remplaceront-elles ? Quelle sera la tendance et le destin du marché du stockage à l’avenir ?

La mémoire ferroélectrique FeRAM, en difficulté ?

Le 28 juillet 2022, 7 ans après le lancement de la technologie de mémoire 3D XPoint, Intel a annoncé la fermeture de son activité de mémoire Optane. Pour le secteur du stockage, cette nouvelle n’est peut-être pas une surprise. En tant que premier produit commercial de mémoire non volatile d'Intel, les progrès commerciaux d'Optane n'ont pas été fluides et sa production n'atteindra jamais un niveau où les prix des puces soient raisonnables.

Par conséquent, Aoteng ne peut pas échapper à la fin de l'échec.

FeRAM est une autre nouvelle technologie candidate en matière de mémoire. Depuis des années, l’industrie de la mémoire développe FeRAM et d’autres technologies de mémoire de nouvelle génération visant à combler les limites et les lacunes technologiques de la mémoire traditionnelle.

FeRAM, le nom complet est Ferroelectric RAM (ferroelectric random access memory), également connue sous le nom de mémoire ferroélectrique. FeRAM utilise des matériaux cristallins ferroélectriques comme supports de stockage et utilise les caractéristiques de la boucle d'hystérésis caractéristique dans la relation entre la tension et le courant des matériaux cristallins ferroélectriques pour réaliser le stockage d'informations.

Diagramme de structure FeRAM

Les produits FeRAM combinent les caractéristiques de stockage de données non volatiles de la ROM avec les avantages d'une lecture et d'une écriture illimitées, d'une lecture et d'une écriture à grande vitesse et d'une faible consommation d'énergie de la RAM.

FeRAM présente les caractéristiques techniques suivantes :

Non volatile :La caractéristique la plus notable de la FeRAM est que ses données ne seront pas perdues après une panne de courant et qu'il s'agit d'une mémoire non volatile ;

Lecture et écriture à grande vitesse :FeRAM a des vitesses de lecture et d'écriture relativement rapides, avec des temps d'accès généralement autour de 50 ns et des temps de cycle autour de 75 ns, ce qui la rend avantageuse dans les situations où un accès rapide aux données est requis ;

Longue durée de vie :FeRAM a une endurance de lecture et d'écriture élevée, généralement capable d'atteindre des milliards de cycles de lecture et d'écriture, dépassant de loin la mémoire EEPROM et flash traditionnelle ;

Faible consommation d'énergie :Étant donné que la FeRAM ne nécessite pas de puissance supplémentaire pour maintenir l'état des données lors du stockage des données, la consommation d'énergie est relativement faible ;

Haute fiabilité :Compatible avec le processus CMOS, large plage de températures de fonctionnement, haute fiabilité.

La FeRAM a une faible densité de stockage et une capacité limitée. Bien qu'elle ne puisse pas remplacer complètement la DRAM et la Flash NAND, elle a un potentiel de développement dans des scénarios qui ne nécessitent pas une capacité élevée, une vitesse et une fréquence de lecture et d'écriture élevées et une longue durée de vie. les montres, les domaines de l'électronique grand public tels que les cartes à puce et les appareils IoT, ainsi que les automobiles et les robots industriels.

En revenant sur l'histoire du développement, les scientifiques ont proposé le concept de matériaux ferroélectriques dès 1920 ; en 1952, un étudiant en maîtrise du Massachusetts Institute of Technology a proposé pour la première fois le concept d'utilisation de condensateurs ferroélectriques comme éléments de stockage de données dans un article en 1991 ; la société américaine Ramtron (acquise par Cypress) a commencé à produire des produits FeRAM de bas niveau avec une structure 2T/2C ; en 1993, Ramtron a développé le premier produit FeRAM de 4 Ko produit en série au monde, en 1996, Hitachi a développé une FeRAM de 256 Ko et l'a lancé en décembre ; de la même année Inscrit.

Depuis, le développement et l’application de FeRAM ont ouvert un nouveau chapitre.

FeRAM, les progrès sont lents ?

Actuellement, les principaux acteurs du marché FeRAM comprennent Infineon (acquis Cypress), Fujitsu, Texas Instruments, IBM et Micron, etc. Ces sociétés proposent de multiples solutions pour des applications telles que les compteurs intelligents, les systèmes automobiles, les appareils portables et les produits de mémoire ferroélectrique. .

Fujitsu propose des produits de mémoire ferroélectrique à grande vitesse pour les applications automobiles et industrielles et les propose au marché industriel depuis plus de 20 ans ; Texas Instruments fournit des microcontrôleurs basés sur FeRAM pour les appareils et les appareils portables IoT ; IBM fournit des applications serveur ; fournit une technologie de mémoire ferroélectrique ; Infineon se concentre sur la fourniture de solutions de mémoire ferroélectrique pour les systèmes de contrôle automobiles et industriels.

En décembre 2023, Micron a divulgué ses résultats de recherche et de développement de NVDRAM 3D 32 Go lors de la conférence IEEE IEDM, ce qui est beaucoup plus important que les précédents produits 8 Mo de Fujitsu et SK Hynix, ainsi que les produits 16 Mo d'Infineon et 128 Mo de Toshiba.

Il est rapporté que la mémoire NVDRAM est basée sur le principe de la ferroélectricité et peut atteindre une durabilité élevée et une faible latence proche de la DRAM tout en ayant une non-volatilité similaire à la mémoire flash NAND. Cette mémoire émergente utilise un empilement 3D double couche et sa densité de capacité de 32 Go établit un nouveau record pour la mémoire ferroélectrique. Micron a testé des échantillons de NVDRAM basés sur la spécification LPDDR5 et estime qu'ils conviennent aux charges d'IA, mais le délai de production en série est inconnu.

Dès mars 2021, Micron a déclaré lors de son retrait du marché Optane 3D XPoint : « Micron prévoit d'appliquer les connaissances acquises grâce aux avancées du programme 3D XPoint, ainsi que l'expertise et les ressources d'ingénierie associées, à des solutions centrées sur la mémoire. produits émergents ciblant la couche de stockage mémoire, FeRAM pourrait avoir été la cible.

En outre, Jita Semiconductor s'est également associée à Wuxi Shunming Storage Technology Priority Company, un fournisseur national émergent de mémoires ferroélectriques, pour lancer le premier produit national de mémoire ferroélectrique émergente de 110 nm en décembre 2023. Par rapport à la technologie existante, le nouveau domaine de produits est plus petit. 40 % ~ 60 %, les performances ont été considérablement améliorées et il est prévu qu'il soit officiellement produit en série au premier trimestre 2024.

Technologie émergente de processus de mémoire ferroélectrique de Jita Semiconductor

Avec ses nombreuses fonctionnalités, la FeRAM devrait devenir l’une des futures orientations de développement de la mémoire.

Cependant, son développement se heurte également à certains défis : premièrement, le rendement de la FeRAM est affecté par la limitation de la taille de la matrice et doit être encore amélioré. Deuxièmement, la FeRAM peut souffrir d'une dégradation de sa durabilité après avoir atteint un certain nombre de cycles de lecture, ce qui nécessite son utilisation ; de la science des matériaux et de l'avancement des processus de fabrication ; de plus, le coût de fabrication du FeRAM est relativement élevé et doit être réduit avec l'expansion de l'échelle de production et le progrès technologique.

Certains acteurs de l'industrie estiment que FeRAM et Optane sont confrontés au même dilemme : il n'existe aucun moyen réalisable de parvenir à une production de masse et ainsi réduire le coût de chaque puce à un niveau abordable, et les progrès sont actuellement lents.

Micron a déclaré que si le marché est suffisamment attractif, Micron peut produire des produits NVDRAM à vendre, mais seulement s'il considère que le retour en capital sur la technologie sera supérieur à celui d'un investissement dans la NAND ou la DRAM.

Il s’agit d’une demande très importante pour le marché de niche de la mémoire embarquée.

Si les grands fabricants de stockage tels que Micron, SK Hynix et Samsung n'adoptent pas la mémoire FeRAM à grande échelle, le chemin à parcourir sera encore long pour les startups pour développer et prouver la technologie de leurs produits en coopération avec les fonderies. En attendant que ces circonstances se produisent, la FeRAM ne peut rester que dans les laboratoires de recherche des instituts technologiques et ne peut être commercialisée à grande échelle.

La mémoire émergente ReRAM devient courante

Par rapport au FeRAM, les dispositifs ReRAM ont été développés et commercialisés activement, continuellement et avec succès. L'automobile, l'IoT et d'autres applications font partie des moteurs de croissance de ReRAM.

ReRAM, le nom complet est Resistive Random Access Memory (mémoire vive résistive), appelée mémoire à commutation résistive ou RRAM.

ReRAM est une mémoire non volatile basée sur la résistance de matériaux non conducteurs qui peut être convertie de manière réversible entre un état à haute résistance et un état à faible résistance sous l'action d'un champ électrique externe. En tant que technologie de stockage la plus simple, la structure ReRAM ressemble à un sandwich. Une couche diélectrique isolante (couche de commutation résistive) est prise en sandwich entre deux couches de métal, formant une couche métal-diélectrique composée d'électrodes supérieure et inférieure et d'une couche de commutation résistive. .(MIM) structure à trois couches.

Le filament conducteur présente deux états activé ou désactivé dans la couche résistive : un état non volatil à faible résistance ou un état à haute résistance, réalisant ainsi la distinction et le stockage des états « 0 » et « 1 ».

ReRAM comprend de nombreuses catégories technologiques différentes. Les principales voies technologiques actuelles comprennent principalement : la mémoire à lacunes d'oxygène OxRAM, la mémoire à pont conducteur CBRAM, la mémoire à ions métalliques MeRAM et la CaRAM à nanotubes de carbone, généralement en déplaçant des éléments conducteurs tels que des ions métalliques ou des lacunes d'oxygène dans des ponts, ou en les supprimant des ponts existants, pour représenter 1 ou 0.

Caractéristiques de la technologie ReRAM :

Grande vitesse:La vitesse d'effacement et d'écriture de la ReRAM est déterminée par la largeur d'impulsion qui déclenche le changement de résistance, qui est généralement inférieure à 100 ns ;

Forte durabilité :La lecture et l'écriture ReRAM sont différentes de la NAND en ce sens qu'elles adoptent un mode réversible et sans dommage, ce qui peut considérablement prolonger sa durée de vie ;

Capacité de stockage multi-bits :Certains matériaux ReRAM ont également plusieurs états de résistance, permettant à une seule cellule mémoire de stocker plusieurs bits de données, augmentant ainsi la densité de stockage ;

ReRAM combine la vitesse de lecture et d'écriture de la DRAM avec la non-volatilité de la NAND Lorsqu'elle est mesurée de manière exhaustive en termes de densité, de rapport d'efficacité énergétique, de coût, de processus et de rendement, la mémoire ReRAM présente des avantages évidents par rapport aux mémoires émergentes existantes.

Présentant de multiples avantages, ReRAM est désormais intégrée dans de plus en plus de processus en tant que mémoire non volatile intégrée (NVM), de 130 nm à 22 nm et moins, et est utilisée dans les voitures intelligentes, l'IA de pointe, les MCU, les PMIC, etc. Il présente les caractéristiques d'une faible consommation d'énergie, d'un faible coût, d'une adressabilité en octets, d'une évolutivité vers des nœuds avancés et d'une forte adaptabilité à diverses conditions environnementales.

En outre, l'informatique inspirée du cerveau et basée sur ReRAM peut également surmonter le goulot d'étranglement de l'architecture informatique de von Neumann à moyen et long terme et est considérée comme l'un des meilleurs choix pour réaliser l'intégration du stockage et de l'informatique.

Dans ces domaines, les exigences en matière de traitement et de stockage rapides des données sont essentielles.

La course à la création d’une technologie ReRAM hautement intégrée, économe en énergie et axée sur les performances apporte des développements passionnants aux futures solutions de mémoire pour une variété d’applications. Par exemple, dans les voitures sans conducteur ou les robots industriels intelligents, ReRAM, grâce à sa faible consommation d'énergie et sa durabilité, peut gérer des opérations de données complexes avec une faible consommation d'énergie et garantir la persistance et la fiabilité des données. Ces caractéristiques donnent à ReRAM de larges perspectives d’application sur le futur marché de l’IA de pointe.

ReRAM, les progrès de la commercialisation s'accélèrent

En fait, ReRAM n’est pas un concept nouveau. Il a commencé à attirer l’attention dès les années 1960 et 1970.

D'un point de vue industriel, Panasonic, Renesas, Western Digital, Fujitsu, Samsung, Sony, Adesto et Crossbar sont les principaux fabricants dans le domaine de la ReRAM. En termes de fonderies, SMIC, TSMC, UMC, GlobalFoundries, etc. développent ou fournissent des processus ReRAM pour les clients fondeurs.

Sa trajectoire de développement est à peu près la suivante : en 2013, Panasonic et Adesto ont lancé des produits ReRAM avec des processus respectivement de 180 nm et 130 nm ; en 2015, Fujitsu et Panasonic ont lancé conjointement une puce ReRAM d'une capacité de 4 Mo ; en 2017, Crossbar et SMIC ont lancé une puce d'une capacité de 40 nm et de 8 Mo ; Et sur cette base, il est entré sur le marché de la ReRAM ; en 2018, Adesto a lancé de nouveaux produits avec un processus de 130 nm ; en 2019, Crossbar et Microsemi ont lancé l'eReRAM de 28 nm, et Fujitsu a lancé la puce ReRAM MB85AS8MT d'une capacité de 8 Mo, élargissant encore le marché vers 2020 ; , Intel a lancé des produits eReRAM en utilisant le processus 22FFL ; à partir de cette date et jusqu'en 2024, de nombreuses entreprises ont lancé plusieurs produits ReRAM 40 nm, continuant de faire progresser la technologie ReRAM.

En juillet de cette année, le fabricant national de panneaux de tête Visionox a achevé le développement et la certification de la première puce de pilote d'affichage AMOLED au monde utilisant la technologie de stockage ReRAM intégrée.

Selon les rapports, il s'agit de la première puce de pilote d'affichage utilisant la technologie de stockage RRAM intégrée dans AMOLED. Par rapport à la puce de pilote d'affichage traditionnelle existante qui utilise une solution SRAM + Flash externe intégrée pour réaliser la fonction de compensation Demura, cette nouvelle puce de pilote supprime directement la puce Flash externe traditionnelle, résolvant efficacement les problèmes de coût élevé des périphériques externes et de paramètre de compensation. lecture qui existent dans les puces traditionnelles. Il surmonte des problèmes tels que la vitesse lente et apporte des avantages tels qu'un coût inférieur, une zone plus petite et une efficacité plus élevée. Il s'agit d'une avancée importante dans la technologie des puces de pilote d'affichage AMOLED.

En mai, le cabinet d'analystes TechInsights a rapporté que la dernière puce de mémoire à commutation résistive intégrée (eRRAM) 22ULL ​​​​de TSMC avait fait des progrès importants dans la technologie de stockage. La puce est utilisée dans les nouvelles puces système de la série nRF54L de Nordic Semiconductor, devenant ainsi une partie sans fil à faible consommation de. la solution IoT, il s'agit du produit eRRAM de deuxième génération de TSMC, qui intègre la première technologie CMOS 22 nm du secteur et est considéré comme comparable à la STT-MRAM intégrée.

En mars, Xinyuan Semiconductor investi par ByteDance est également une société axée sur la recherche et le développement de la technologie de stockage émergente ReRAM et des puces associées, couvrant le contrôle industriel haute performance, les puces SoC/ASIC automobiles, l'IP et les puces intégrées de stockage et de calcul (CIM). et les systèmes. Puces de stockage de niveau (SoM) et autres domaines d'application.

Il est rapporté que Xinyuan Semiconductor maîtrise la technologie intégrée en boucle fermée, couvrant les matériaux des dispositifs, les processus de traitement, la conception des puces, la conception IP et la production pilote de masse, et a construit la première ligne de production pilote back-end pilote ReRAM de 12 pouces à processus avancé sur le continent. Chine et lancement La série « Xin·Shanwen » de produits de stockage sécurisé ReRAM a atteint une production commerciale de masse dans le domaine du contrôle de l'automatisation industrielle.

En août 2023, l’équipe de l’académicien Liu Ming de l’Institut de microélectronique de l’Académie chinoise des sciences a proposé une nouvelle structure informatique RRAM en mémoire avec un parallélisme élevé et un rapport de performances élevé. Au niveau du dispositif, l'équipe a proposé une structure de réseau de stockage et de calcul avec un memristor à deux transistors pondérés (WH-2T1R), qui réduit l'impact des effets parasites sur le courant de calcul et réduit la consommation d'énergie des opérations de multiplication-accumulation. Au niveau du circuit, un circuit de lecture d'amplificateur de détection en mode courant réduisant le courant de référence est proposé, ce qui réduit considérablement la consommation d'énergie du circuit de lecture. Au niveau du mappage des algorithmes, l’équipe a proposé une stratégie de mappage de redondance des données à bits élevés (MSB_RSM) pour améliorer la précision des calculs. Ces solutions ont été vérifiées sur le processus intégré 28 nm développé indépendamment par l'équipe. L'efficacité énergétique moyenne de la structure informatique RRAM en mémoire dans la tâche ResNet-18 atteint 30,34TOPS/W et peut être augmentée à 154,04TOPS/W. optimiser le timing de lecture. Les résultats de la recherche ont été publiés dans le "IEEE Journal of Solid-State Circuits".

En août 2023, Houmo Intelligent a annoncé l'achèvement des tests et le développement de scénarios d'application de la première puce mémoire RRAM de grande capacité disponible dans le commerce.

Il existe également Yizhu Technology, qui se concentre sur le développement de puces de grande puissance de calcul IA intégrées au stockage et au calcul entièrement numériques, basées sur ReRAM. En 2023, Yi Zhu Technology a développé avec succès la première puce POC de grande puissance de calcul d'IA intégrée au stockage et au calcul de haute précision et à faible consommation, basée sur la mémoire RRAM (ReRAM). Elle est basée sur des processus de fabrication traditionnels et a été vérifiée par des tiers. institutions du parti avec d'excellentes performances d'efficacité énergétique plus de 10 fois plus que les puces d'IA à architecture traditionnelle.

Par ailleurs, Weebit Nano, une coentreprise israélo-française fondée en 2015, se concentre également depuis de nombreuses années sur la technologie de mémoire ReRAM.

Weebit Nano s'est associé à la fonderie de semi-conducteurs CMOS Skywater pour fournir ses modules ReRAM aux clients Skywater et a produit une puce de démonstration entièrement fonctionnelle.

Lors du Flash Memory Summit en août 2023, Amir Regev, vice-président de la qualité et de la fiabilité de Weebit Nano, a présenté les tendances de développement du marché de la ReRAM embarquée ainsi que les progrès et réalisations technologiques de Weebit Nano dans ce domaine. Il a souligné que le marché émergent de la mémoire non volatile (NVM) devrait atteindre 2,7 milliards de dollars d'ici 2028, la ReRAM devant représenter 37 % de la part de marché, en particulier dans les applications embarquées, à mesure que les expéditions de MCU augmentent. La ReRAM occupera 60 % de la part de marché. % du volume de la plaquette.

Actuellement, Weebit met en œuvre un modèle d'exploitation commerciale en octroyant des licences de propriété intellectuelle à des sociétés et des usines de semi-conducteurs. Sa technologie ReRAM a été vérifiée sur le silicium sur le nœud de processus 28-130 nm et a été réalisée avec succès sur la plate-forme 22FDX de GlobalFoundries, dont le démarrage est prévu en 2024. production.

Les modules ReRAM de Weebit fabriqués chez SkyWater ont obtenu des qualifications clés, marquant une étape importante dans la maturité de la technologie ReRAM.

Weebit Nano a déclaré que la mémoire émergente ReRAM devient courante.

En plus des domaines applicables ci-dessus, l’informatique neuromorphique est un autre domaine d’application potentiel de ReRAM.

Certaines entreprises, comme Facebook et Google, ont développé des systèmes d'apprentissage automatique utilisant des réseaux de neurones. Les réseaux de neurones aident les systèmes, dont beaucoup utilisent des FPGA et des GPU avec une mémoire basée sur SRAM, à traiter les données et à reconnaître les modèles. L’industrie de la mémoire développe pour ce domaine du ReRAM, beaucoup plus dense que les architectures GPU/SRAM.

Mais les systèmes neuromorphiques nécessitent la mise en cascade de plusieurs dispositifs ReRAM empilés. Avant que ReRAM puisse entrer sur le marché, l’industrie de la mémoire doit d’abord maîtriser ReRAM à petite échelle.

À mesure que la loi de Moore ralentit progressivement, il devient de plus en plus important de trouver des technologies de stockage efficaces capables de répondre aux besoins de l'informatique de nouvelle génération. Dans ce contexte, ReRAM a montré un grand potentiel.

Bien que la technologie ReRAM soit prometteuse en tant que solution de mémoire de nouvelle génération, elle reste confrontée à certains défis qui entravent son adoption généralisée. Le premier est le problème du « chemin furtif », qui provoque une fuite de courant et peut conduire à des lectures erronées de la mémoire ; deuxièmement, il existe des inquiétudes concernant la formation et la stabilité des lacunes d'oxygène, qui sont essentielles au mécanisme de commutation résistive sur lequel s'appuie ReRAM ; De plus, la création d'un champ électrique uniforme au sein de la cellule mémoire pour une commutation fiable constitue également un obstacle de conception. Encore une fois, bien que ReRAM offre une efficacité énergétique par rapport aux mémoires traditionnelles telles que la mémoire flash, améliorer cet attribut pour répondre aux besoins des applications à très faible consommation constitue un obstacle technique.

À court terme, ReRAM ne remplacera pas la NAND ou toute autre mémoire grand public, mais elle trouvera sa place, notamment dans les systèmes embarqués et d'autres domaines nécessitant des performances élevées, une faible consommation d'énergie, une miniaturisation et des solutions de stockage haute densité.

MRAM se démarque

Outre FeRAM et ReRAM, d’autres technologies de mémoire émergentes telles que MRAM et PCM ont également été largement discutées. Chacune de ces technologies présente ses propres avantages et scénarios d’application, mais est également confrontée à ses propres défis.

Dans un paysage technologique en constante évolution, certaines innovations se démarquent par leur potentiel à remodeler les industries et à redéfinir les normes de performance. La mémoire vive magnétorésistive (MRAM) constitue une avancée majeure.

MRAM, Magnetic RAM, également appelée mémoire magnétique, est une technologie basée sur l'effet tunnel de magnétorésistance.

La MRAM utilise des jonctions tunnel magnétiques (MTJ) comme unité de mémoire de base. Chaque MTJ est composé de deux couches de matériaux magnétiques prises en sandwich par une fine couche isolante. Selon que les directions de magnétisation des deux couches de matériaux magnétiques sont cohérentes, le MTJ affiche différentes valeurs de résistance pour stocker des informations. La MRAM combine les performances de lecture et d'écriture à grande vitesse de la DRAM avec les caractéristiques non volatiles de la SRAM. Elle présente également les avantages d'une faible consommation d'énergie, d'une durabilité élevée, d'une large plage de températures de fonctionnement et de cycles d'effacement et d'écriture presque illimités.

Diagramme schématique MRAM

Caractéristiques techniques de la MRAM :

Non volatile :Le magnétisme des ferromagnétiques ne disparaîtra pas en raison d'une panne de courant et la MRAM est non volatile ;

Temps de lecture et d’écriture illimités :Non seulement le magnétisme des ferromagnétiques ne disparaît pas lorsque l'alimentation est coupée, mais on considère presque qu'il ne disparaît jamais. Par conséquent, la MRAM et la DRAM peuvent être réécrites indéfiniment ;

Vitesse d'écriture rapide et faible consommation d'énergie :Le temps d'écriture de la MRAM peut être aussi faible que 2,3 ns et la consommation d'énergie est extrêmement faible, ce qui permet une mise sous et hors tension instantanée et prolonge la durée de vie de la batterie des ordinateurs portables ;

Haute intégration avec les puces logiques :Les unités MRAM peuvent être facilement intégrées dans des puces de circuits logiques, et seules une ou deux étapes nécessitant un masque de photolithographie sont ajoutées au processus de métallisation principal. De plus, les cellules MRAM peuvent être entièrement fabriquées dans la couche métallique de la puce, et même 2 à 3 couches de cellules peuvent être empilées, ce qui permet de construire des matrices de mémoire à grande échelle sur des circuits logiques.

La recherche MRAM se concentre sur l'amélioration de la densité de stockage, la réduction de l'énergie d'écriture, l'augmentation des vitesses de lecture et d'écriture et l'amélioration de la compatibilité et de la rentabilité du processus de fabrication. La STT-MRAM est devenue un point chaud de la recherche en raison de son courant d'écriture plus faible et de sa meilleure évolutivité, tandis que la SOT-MRAM a attiré l'attention en raison de son potentiel à réduire la consommation d'énergie et à accélérer les vitesses de commutation.

La MRAM est une mémoire non volatile qui est plus rapide, plus durable et consomme moins d'énergie que les technologies traditionnelles, et gagne du terrain dans une variété d'industries, notamment l'automobile, l'industrie, les appareils portables, l'aérospatiale et la défense.

En règle générale, les demandes de brevet indiquent clairement qu’une technologie fait l’objet de beaucoup d’attention : plus une technologie est pertinente pour les applications commerciales, plus le nombre de demandes de brevet est élevé.

Selon les statistiques de LexisNexis, le nombre de demandes de brevet sur le marché des MRAM est resté stable entre 2004 et 2013, avec environ 300 à 400 demandes de brevet chaque année. Il y a eu un pic en 2011. Une enquête plus approfondie a révélé que Toshiba a augmenté ses demandes de brevets dans ce domaine technologique en 2011, ce qui a conduit à cette augmentation.

Tendances des dépôts de brevets et publications IEEE dans le domaine MRAM au cours des 20 dernières années

Il convient de noter que la baisse à la fin du graphique ne représente pas une baisse d’intérêt, mais plutôt le décalage entre le dépôt du brevet et sa publication.

Il est entendu que, compte tenu de la taille du portefeuille de brevets, LexisNexis a divisé les 10 premières entreprises en trois catégories :

Détenteurs de solides portefeuilles de brevets : Samsung, Kioxia et TSMC ;

Suiveurs : TDK et IBM ;

d'autres sociétés.

Qualité des 10 premières entreprises dans le domaine MRAM par force du portefeuille de brevets

MRAM, potentiel commercial mis en avant

En revenant sur l'histoire du développement de la MRAM, dès 2002, TSMC a signé un plan de développement de coopération MRAM avec l'Institut de recherche industrielle de Taiwan.

La première MRAM commercialisée était la Toggle-MRAM d'une capacité de 4 Mo produite par Freescale Semiconductor en 2006 ; Honeywell et Cobham et d'autres sociétés ont également lancé des produits connexes ; Toshiba a annoncé en 2014 qu'elle remplacerait la SRAM par la STT-MRAM, ce qui rendrait le microprocesseur plus gourmand en énergie du cache. le dispositif a été réduit de près de 60 % ; en 2017, l'Université d'aéronautique et d'astronautique de Pékin et l'Institut de microélectronique de l'Académie chinoise des sciences ont préparé conjointement avec succès le premier dispositif STT-MRAM de 80 nanomètres du pays.

En juin 2023, le groupe de recherche sur les matériaux et dispositifs spin quantiques du laboratoire des matériaux du lac Songshan de Shenzhen a collaboré avec l'Université de Californie à Los Angeles, l'Université des sciences et technologies King Abdullah, l'Université des sciences et technologies électroniques de Chine et l'Institut de Physique, Académie chinoise des sciences, pour réaliser un dispositif de mémoire SOT-MRAM plus avancé, basé sur un isolant topologique, combinant une densité de stockage élevée avec une jonction tunnel à anisotropie magnétique perpendiculaire (pMTJ). TSMC a développé des gammes de produits MRAM connexes telles que le processus 16/12 nm.

Également en 2023, NXP a collaboré avec TSMC pour développer conjointement la première MRAM automobile embarquée FinFET de 16 nanomètres du secteur afin de prendre en charge la prochaine génération d'architecture automobile. Cette collaboration met en évidence l'importance croissante de la MRAM dans le secteur automobile, dans le but d'améliorer les performances et la fiabilité des applications automobiles avancées.

Le 18 janvier de cette année, TSMC et l'Industrial Research Institute ont annoncé le développement réussi de puces matricielles SOT-MRAM, marquant une avancée majeure dans le domaine de la technologie de mémoire MRAM de nouvelle génération. Ce produit innovant utilise non seulement une architecture informatique avancée, mais sa consommation électrique ne représente que 1 % de celle d'une technologie similaire STT-MRAM.

De plus, TSMC explore activement SOT-MRAM et VC-MRAM et collabore avec des laboratoires de recherche externes, des consortiums et des partenaires universitaires.

Top 10 des entreprises ayant une maturité d'innovation dans le domaine MRAM

Presque en même temps que TSMC, Samsung annonçait son plan de développement de MRAM en 2002. En 2005, Samsung a pris la tête de la recherche et du développement de la STT-MRAM. Cette technologie s'est ensuite avérée capable de répondre aux exigences de performances du cache de dernier niveau dans le domaine du calcul haute performance et a été considérée comme un outil puissant. percer le marché de niche.

Début 2022, Samsung Electronics a publié la première recherche au monde sur l'informatique en mémoire basée sur la MRAM dans la principale revue universitaire Nature. Lors du SFF 2023 en Europe, Samsung a annoncé sa vision de révolutionner la technologie automobile de nouvelle génération et prévoit de développer la première eMRAM 5 nm de Samsung. En plus de lancer l'eMRAM 14 nm d'ici 2024, la société prévoit également d'élargir davantage son portefeuille de produits eMRAM avec 8 nm d'ici 2026 et 5 nm d'ici 2027. Par rapport au processus 14 nm, l'eMRAM 8 nm devrait augmenter la densité de 30 % et la vitesse de 33 %.

Parmi toutes ces technologies de mémoire émergentes, la MRAM est l’une des technologies présentant le plus grand potentiel commercial.

Bien que la mémoire MRAM présente les avantages de la durabilité et de la production de masse, la MRAM n'est pas sans inconvénients. Elle est également confrontée à de nombreux défis, tels que le système matériel complexe du dispositif réel, le faible taux de commutation et le processus CMOS qui doit être entièrement adapté. De plus, le développement de la MRAM se heurte toujours à des goulots d'étranglement en termes de consommation d'énergie dynamique, d'efficacité du retard énergétique et de fiabilité.

D'une manière générale, la MRAM est encore loin d'être une technologie mature et son avantage en termes de coût n'a pas encore été mis en évidence. De plus, d'autres technologies de stockage émergentes se développent également. On peut seulement dire que la MRAM est actuellement la plus prometteuse. DRAM ou NAND, la MRAM a encore un long chemin à parcourir.

PCM, ouvrant un nouveau paradigme informatique

PCM, Phase-change RAM, également appelée mémoire à changement de phase ou PCRAM.

Le principe du PCM est de convertir le matériau à changement de phase entre un état cristallin (conducteur) à faible résistance et un état amorphe (non conducteur) à haute résistance en modifiant la température, et d'utiliser la différence de conductivité entre les deux états pour distinguer " 0 et 1". Cela permet le stockage des données.

Diagramme schématique PCM

Le PCM présente la non-volatilité de la NAND, la vitesse de lecture et d'écriture élevée et la longue durée de vie de la DRAM. Il présente également les avantages d'une faible latence, d'une haute densité, d'une faible consommation d'énergie et d'une compatibilité avec la technologie CMOS. mémoire et mémoire principale. La possibilité du deux-en-un devrait être appliquée dans les centres de données hautes performances, les serveurs, l'Internet des objets et d'autres scénarios à l'avenir.

Caractéristiques techniques du PCM :

Faible latence, temps de lecture et d'écriture équilibrés :PCM n'a pas besoin d'effacer le code ou les données précédents avant d'écrire le code de mise à jour, de sorte que la vitesse de lecture et d'écriture du PCM est améliorée par rapport au Flash NAND, et le temps de lecture et d'écriture est plus équilibré ;

Longue durée de vie :La lecture et l'écriture PCM sont non destructives, de sorte que son endurance en écriture dépasse de loin celle de la mémoire flash. L'utilisation du PCM pour remplacer les disques durs mécaniques traditionnels offre une fiabilité plus élevée.

Faible consommation d'énergie :Le PCM n'a pas de dispositif rotatif mécanique et ne nécessite pas de courant de rafraîchissement pour enregistrer le code ou les données. Par conséquent, la consommation électrique du PCM est inférieure à celle du disque dur, de la NAND et de la DRAM ;

Haute densité :Certains PCM adoptent des conceptions sans transistor pour obtenir un stockage haute densité ;

Bonne résistance aux radiations :La technologie de stockage PCM n'a rien à voir avec l'état des particules chargées dans le matériau, elle présente donc une forte résistance aux rayonnements spatiaux et peut répondre aux besoins de la défense nationale et de l'aérospatiale.

À l'heure actuelle, aucune limite physique claire n'a été trouvée pour le PCM. Les recherches montrent que même si le matériau à changement de phase est réduit à une épaisseur de 2 nm, le dispositif de mémoire peut toujours subir un changement de phase. Par conséquent, le PCM pourrait résoudre le problème des limites physiques de la technologie de mémoire et devenir à l’avenir l’un des dispositifs de mémoire à semi-conducteurs de nouvelle génération.

En 2006, Intel a collaboré avec Samsung pour produire la première puce PCM commerciale. En 2015, Intel et Micron ont développé conjointement une puce de mémoire PCM révolutionnaire, 3D Xpoint. Le premier a nommé la technologie Optane et le second QuantX.

La technologie 3D Xpoint a réalisé une avancée révolutionnaire dans le domaine de la mémoire non volatile. Bien que sa vitesse soit légèrement inférieure à celle de la DRAM, sa capacité est supérieure à celle de la DRAM et 1 000 fois plus rapide que celle de la mémoire flash.

Mais ses défauts sont également évidents. 3D Xpoint adopte une structure d'empilement. Parce que plus il y a de couches empilées, plus il faut de masques, et dans l’ensemble de l’industrie de fabrication de circuits intégrés, les masques représentent le coût le plus élevé. Par conséquent, du point de vue de la fabrication, il est très difficile de réaliser une structure empilée 3D comportant des dizaines de couches.

Avec la fermeture de l'activité mémoire Optane d'Intel, la technologie de mémoire 3D XPoint a également pris fin.

Cependant, l’industrie développe encore la technologie PCM. Début 2022, l'Institut des matériaux et dispositifs de stockage d'informations (ISMD) de l'École des circuits intégrés de l'Université des sciences et technologies de Huazhong et le Centre d'innovation et de conception des matériaux (CAID) de l'Université Xi'an Jiaotong ont développé une mémoire à changement de phase. avec une structure amorphe maillée, avec une consommation électrique de 0,05 inférieure à pJ, la consommation électrique est mille fois inférieure à celle des produits grand public.

En avril de cette année, l'Académie coréenne des sciences et technologies a annoncé qu'une équipe de recherche dirigée par le professeur Shinhyun Choi de l'École de génie électrique avait développé un dispositif de mémoire à changement de phase de nouvelle génération. Les résultats pertinents ont été publiés dans la revue de premier plan Nature. Le titre de l'article est : "Mémoire à changement de phase via un nano-filament auto-confiné à changement de phase".

L'article présente un nouveau dispositif PCM qui utilise des nanofils SiTex à phase variable pour réduire efficacement le courant de réinitialisation du PCM. Cette conception innovante peut réduire considérablement le courant de réinitialisation sans sacrifier les coûts de fabrication. Plus précisément, le PCM à nanofilaments développé présente un courant de réinitialisation ultrafaible d'environ 10 μA, soit un à deux ordres de grandeur inférieur à celui des PCM conventionnels hautement évolutifs.

Cette percée dans la technologie PCM marque une étape importante pour l'industrie dans l'ouverture d'un nouveau paradigme informatique, en particulier pour les applications pouvant bénéficier des caractéristiques uniques du PCM.

Bien que le PCM présente de nombreux avantages, il présente également des inconvénients évidents et présente actuellement de nombreux goulots d'étranglement au niveau des applications, ce qui entraîne une stagnation de la commercialisation. Premièrement, comme le processus de stockage PCM repose sur la régulation de la température et est très sensible à la température, il ne peut pas être appliqué à de larges scénarios de température. Deuxièmement, la mémoire PCM adopte une structure multicouche pour être compatible avec le processus CMOS, ce qui entraîne une densité de stockage trop faible pour répondre aux exigences de capacité pour remplacer la mémoire Flash NAND. En outre, le coût et le rendement sont également devenus l’un des goulots d’étranglement de son industrialisation à grande échelle.

écris à la fin

Depuis des années, l’industrie de la mémoire est à la recherche d’une nouvelle technologie de stockage.Qu'il s'agisse de FeRAM, ReRAM, MRAM ou PCM, ils visent tous à résoudre dans une certaine mesure les problèmes de « mur de performances » et de « mur de stockage » du stockage traditionnel, à briser l'architecture de von Neumann et à éliminer les retards et les retards causés par les données. accès à la consommation d'énergie pour obtenir une puissance de calcul et un ratio d'efficacité énergétique plus élevés, mais les caractéristiques techniques spécifiques et les niveaux de commercialisation des quatre systèmes de stockage émergents sont différents.

Comparaison entre la technologie de stockage traditionnelle et la technologie de stockage émergente

Sur la base de l'analyse de divers types de caractéristiques techniques et de l'état du marché, ces technologies émergentes n'ont actuellement pas la capacité de remplacer la mémoire flash DRAM/NAND. Cependant, à l'ère de la croissance explosive des données, le stockage émergent présente de solides performances, une longue durée de vie. D'excellentes caractéristiques telles que la fiabilité et la résistance aux températures élevées devraient combler le vide sur le marché de la mémoire et devenir un nouveau choix dans le domaine de la mémoire.

Comme mentionné au début de l'article, les lacunes de l'innovation technologique continue dans la voie traditionnelle actuelle ont été révélées. Le marché a un besoin urgent de produits de mémoire capables de répondre aux besoins de nouveaux scénarios, et le stockage émergent offre une fenêtre d'opportunité. .

Cependant, nous devons être vigilants : dans le processus de développement du stockage émergent, l'industrie de la mémoire continue d'étendre la mémoire flash DRAM et NAND, ce qui rend difficile l'occupation de nouveaux types de mémoire sur le marché.

En ce qui concerne l’avenir, certains experts du secteur affirment qu’aucun type de mémoire n’est omnipotent et ne peut gérer toutes les applications. Chaque technologie a des propriétés différentes et remplit efficacement différentes fonctions. On s'attend à ce que ces technologies de stockage avancées soient les premières à être utilisées dans des applications capables de refléter et d'exploiter leurs avantages uniques.