news

Emerging storage, a world of difference

2024-08-26

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

Since the 1970s, DRAM has entered the commercial market and has become the largest branch market in the storage field with its extremely high read and write speeds; after the emergence of feature phones, the NOR Flash market has exploded; in the PC era, people's demand for storage capacity has become increasingly greater, and low-cost, high-capacity NAND Flash has become the best choice.

Today, with the rise of the AI ​​wave, HBM is far ahead.

After 50 years of development, storage technology has gradually formed several major areas: DRAM, Flash and SRAM.

However, as semiconductor manufacturing technology continues to move towards smaller technology nodes, emerging application scenarios have put forward higher requirements for data storage in terms of speed, power consumption, capacity, reliability, etc. The drawbacks of continuing technological innovation along the traditional path have been exposed, and DRAM, NAND Flash, etc. are beginning to face increasingly severe challenges. In addition, the mismatch in the development speed between these storage technologies and logical computing units has seriously restricted the further improvement of computing performance and energy efficiency.

Therefore, the industry began to place high hopes on emerging storage technologies, and more and more emerging technologies emerged rapidly.

At present, the industry is focusing on four types of emerging memories: ferroelectric memory (FeRAM/FRAM), resistive RAM (ReRAM/RRAM), magnetic RAM (MRAM) and phase change memory (PCM).These emerging memory technologies are designed to integrate the switching speed of SRAM and the high density of DRAM with the non-volatile properties of Flash.

Faced with the conflict between old and new technologies, can the current industry dominance of DRAM and NAND continue? Or will emerging memory technologies such as FeRAM, ReRAM, MRAM or PCM replace it? What will be the trend and fate of the future storage market?

Ferroelectric Memory FeRAM, in Trouble?

On July 28, 2022, seven years after the launch of 3D XPoint memory technology, Intel announced that it would shut down its Optane memory business. For the storage industry, this news may not be a surprise. As Intel's first commercialized non-volatile memory product, Optane's business has not been smooth, and its production volume will never reach a reasonable level for chip prices.

Therefore, Aoteng could not escape the fate of ending in failure.

FeRAM is another new memory candidate technology. For many years, the memory industry has been developing FeRAM and other next-generation memory technologies to fill the technical limitations and gaps of traditional memory.

FeRAM, the full name of which is Ferroelectric RAM (Ferroelectric Random Access Memory), is also known as ferroelectric memory. FeRAM uses ferroelectric crystal materials as storage media and uses the characteristic hysteresis loop of the voltage and current relationship of ferroelectric crystal materials to achieve information storage.

FeRAM structure diagram

FeRAM products combine the non-volatile data storage characteristics of ROM with the advantages of unlimited read and write, high-speed read and write, and low power consumption of RAM.

FeRAM has the following technical features:

Non-volatile:The most notable feature of FeRAM is that its data will not be lost after power failure, and it is a non-volatile memory;

High-speed reading and writing:FeRAM has a relatively fast read and write speed, with access times typically around 50ns and a cycle time of about 75ns, which makes it advantageous in situations where fast data access is required;

Long lifespan:FeRAM has high read and write endurance, typically capable of billions of read and write cycles, far exceeding traditional EEPROM and flash memory;

Low Power Consumption:Since FeRAM does not require additional power to maintain the data state when storing data, the power consumption is relatively low;

High reliability:Compatible with CMOS process, wide operating temperature range and high reliability.

FeRAM has low storage density and limited capacity. Although it cannot completely replace DRAM and NAND Flash, it has development potential in scenarios where capacity requirements are not high, read and write speeds and frequencies are high, and service life is long. It is suitable for consumer electronics such as smart watches, smart cards, and IoT devices, as well as automobiles and industrial robots.

Looking back at the development history, as early as 1920, scientists proposed the concept of ferroelectric materials; in 1952, a master's student at the Massachusetts Institute of Technology first proposed the concept of using ferroelectric capacitors as data storage elements in his thesis; in 1991, the American Ramtron Corporation (acquired by Cypress) began to produce low-bit FeRAM products with 2T/2C structure; in 1993, Ramtron developed the world's first mass-producible 4Kb FeRAM product; in 1996, Hitachi developed 256kb FeRAM and launched it in December of the same year.

Since then, a new chapter has been opened in the research, development and application of FeRAM.

FeRAM, slow progress?

Currently, the main players in the FeRAM market include Infineon (acquired Cypress), Fujitsu, Texas Instruments, IBM and Micron, which provide a variety of ferroelectric memory products for applications such as smart meters, automotive systems, wearable devices and IoT devices.

Fujitsu has high-speed ferroelectric memory products suitable for automotive and industrial applications, and has been delivering them to the industrial market for more than 20 years; Texas Instruments provides FeRAM-based microcontrollers for IoT devices and wearable devices; IBM provides ferroelectric memory technology for server applications; and Infineon focuses on providing ferroelectric memory solutions for automotive and industrial control systems.

In December 2023, Micron disclosed its 32Gb 3D NVDRAM research and development results at the IEEE IEDM conference, which is much larger than the previous 8Mb products of Fujitsu and SK Hynix, as well as Infineon's 16Mb and Toshiba's 128Mb products.

It is reported that NVDRAM memory is based on the principle of ferroelectricity and can achieve high endurance and low latency close to DRAM while having non-volatility similar to NAND flash memory. This emerging memory uses double-layer 3D stacking, and the capacity density of 32Gb sets a new record for ferroelectric memory. Micron has tested NVDRAM samples based on the LPDDR5 specification and believes that it is suitable for AI loads, but the mass production time is unknown.

As early as March 2021, when Micron withdrew from the Optane 3D XPoint market, it stated: "Micron plans to apply the knowledge gained from the breakthroughs in the 3D XPoint program, as well as the related engineering expertise and resources, to emerging memory-centric products targeting the memory storage hierarchy. At that time, FeRAM may have been its target."

In addition, Jetta Semiconductor has also teamed up with Wuxi Shunming Storage Technology Co., Ltd., a domestic emerging ferroelectric memory supplier, to launch the country's first 110nm emerging ferroelectric memory product in December 2023. Compared with existing technologies, the new product has a reduced area of ​​about 40% to 60%, and its performance has been greatly improved. It is planned to be officially mass-produced in the first quarter of 2024.

JITA Semiconductor's emerging ferroelectric memory process technology

With its many characteristics, FeRAM is expected to become one of the future development directions of memory.

However, its development also faces some challenges: first, the yield of FeRAM is affected by the limitation of array size and needs to be further improved; second, FeRAM may experience a decrease in durability after reaching a certain number of read cycles, which needs to be solved through advances in materials science and manufacturing processes; in addition, the manufacturing cost of FeRAM is also relatively high and needs to be reduced with the expansion of production scale and technological progress.

Some in the industry believe that FeRAM is in the same predicament as Optane - there is no feasible way to achieve mass production to reduce the cost of each chip to an affordable level, and progress is currently quite slow.

Micron has said that if the market is attractive enough, Micron can produce NVDRAM products for sale, but the prerequisite is that it must see that the capital return of this technology will be higher than investing in NAND or DRAM.

This is a very large requirement for the niche embedded memory market.

If large storage manufacturers such as Micron, SK Hynix, and Samsung do not adopt FeRAM memory on a large scale, and only rely on startups to develop and prove their product technology through cooperation with foundries, there is still a long way to go. Before this happens, FeRAM may only stay in the research laboratories of technology institutes and cannot be commercialized on a large scale.

ReRAM emerging memory is becoming mainstream

Compared to FeRAM, ReRAM devices have been actively, continuously and successfully developed and commercialized. Automotive, IoT and other applications are one of the growth drivers for ReRAM.

ReRAM, the full name of which is Resistive Random Access Memory, is abbreviated as resistive random access memory or RRAM.

ReRAM is a non-volatile memory based on the reversible conversion of the resistance of non-conductive materials between high resistance and low resistance under the action of an external electric field. As the simplest storage technology, the ReRAM structure looks like a sandwich, with an insulating dielectric layer (resistive layer) sandwiched between two metal layers, forming a metal-dielectric layer-metal (MIM) three-layer structure consisting of upper and lower electrodes and a resistive layer.

The conductive filaments in the resistive layer are in two states: on or off: non-volatile low resistance state or high resistance state, thereby achieving the distinction and storage of "0" and "1" states.

ReRAM includes many different technology categories. The current mainstream technology routes are: oxygen vacancy memory OxRAM, conductive bridge memory CBRAM, metal ion memory MeRAM and carbon nanotube CaRAM. It usually represents 1 or 0 by moving conductive elements such as metal ions or oxygen vacancies into the bridge, or by removing them from the existing bridge.

ReRAM technology features:

High speed:The ReRAM erase speed is determined by the pulse width that triggers the resistor transition, which is generally less than 100ns;

Strong durability:ReRAM reads and writes differently from NAND, using a reversible, non-destructive mode, which can greatly increase its service life;

Multi-bit storage capability:Some ReRAM materials also have multiple resistance states, making it possible for a single memory cell to store multiple bits of data, thereby increasing storage density;

ReRAM combines the read and write speed of DRAM with the non-volatility of NAND. Considering density, energy efficiency, cost, process and yield, ReRAM memory has obvious advantages over the existing emerging memories.

With multiple advantages, ReRAM is now being integrated as embedded non-volatile memory (NVM) into more and more processes, from 130nm to 22nm and below, for various applications such as smart cars, edge AI, MCU, PMIC, etc. It has the characteristics of low power consumption, low cost, byte addressing, scalability to advanced nodes, and strong adaptability to various environmental conditions.

In addition, brain-like computing based on ReRAM can break through the bottleneck of von Neumann computing architecture in the medium and long term, and is considered to be one of the best choices for achieving storage and computing integration.

In these areas, fast data processing and storage requirements are crucial.

The race to create highly integrated, energy-efficient, and performance-oriented ReRAM technology has brought exciting developments to future memory solutions for a variety of applications. For example, in driverless cars or smart industrial robots, ReRAM, with its low power consumption and durability, can process complex data operations with low energy consumption and ensure data persistence and reliability. These characteristics make ReRAM have broad application prospects in the future edge AI market.

ReRAM, commercialization progress accelerates

In fact, ReRAM is not a new concept. It has been attracting attention as early as the 1960s and 1970s.

From the industry perspective, Panasonic, Renesas, Western Digital, Fujitsu, Samsung, Sony, Adesto, and Crossbar are the main manufacturers in the ReRAM field. In terms of foundries, SMIC, TSMC, UMC, and GlobalFoundries are all developing or providing ReRAM processes for foundry customers.

Its development trajectory is roughly as follows: in 2013, Panasonic and Adesto launched ReRAM products with 180nm and 130nm processes respectively; in 2015, Fujitsu and Panasonic jointly launched 4Mb ReRAM chips; in 2017, Crossbar and SMIC launched 40nm 8Mb chips and entered the ReRAM market; in 2018, Adesto launched new products with 130nm process; in 2019, Crossbar and Microsemi launched 28nm eReRAM, and Fujitsu launched the 8Mb MB85AS8MT ReRAM chip, further expanding the market; around 2020, Intel launched eReRAM products with 22FFL process; from then on to 2024, many companies released multiple 40nm ReRAM products, continuously advancing ReRAM technology.

In July this year, Visionox, a leading domestic panel manufacturer, completed the development and certification of the world's first AMOLED display driver chip using embedded ReRAM storage technology.

According to reports, this is the first display driver chip to use embedded RRAM storage technology in AMOLED. Compared with the existing traditional display driver chips that use built-in SRAM + external Flash to achieve the demura compensation function, this new driver chip directly removes the traditional external Flash chip, effectively solving the problems of high external device costs and slow compensation parameter reading speed in traditional chips, bringing advantages such as lower cost, smaller area, and higher efficiency, which is an important breakthrough in AMOLED display driver chip technology.

In May, analyst firm TechInsights reported that TSMC’s latest 22ULL ​​embedded resistive random access memory (eRRAM) chip has made important progress in storage technology. The chip is used in Nordic Semiconductor’s new nRF54L series system-on-chip and has become part of a low-power wireless IoT solution. This is TSMC’s second-generation eRRAM product, with the industry’s first 22nm CMOS technology, which is said to be comparable to embedded STT-MRAM.

In March, ByteDance invested in Xinyuan Semiconductor, a company that focuses on the research and development of emerging ReRAM storage technologies and related chips, covering application areas such as high-performance industrial control, automotive SoC/ASIC chips, computing-in-one (CIM) IP and chips, and system-level storage (SoM) chips.

It is reported that Xinyuan Semiconductor has mastered integrated closed-loop technology, covering device materials, process technology, chip design, IP design, pilot production and other links, and has built the first advanced process ReRAM 12-inch pilot back-end production line in mainland China. The "Xin Shanwen" series of ReRAM security storage products launched have been commercially mass-produced in the field of industrial automation control.

In August 2023, the team of Academician Liu Ming from the Institute of Microelectronics of the Chinese Academy of Sciences proposed an emerging RRAM in-memory computing structure with high parallelism and high efficiency ratio. At the device level, the team proposed a memory-computing array structure with weighted two-transistor-one-memristor (WH-2T1R), which reduced the impact of parasitic effects on computing current and reduced the power consumption of multiplication and addition operations. At the circuit level, a reference current-subtracting current-type sensitive amplifier readout circuit was proposed, which significantly reduced the power consumption of the readout circuit. At the algorithm mapping level, the team proposed a mapping strategy for high-bit data redundancy (MSB_RSM) to improve the calculation accuracy. These solutions have been verified on the embedded 28nm process independently developed by the team. The average energy efficiency of the RRAM in-memory computing structure in the ResNet-18 task reached 30.34TOPS/W, and can be increased to 154.04TOPS/W by optimizing the readout timing. The research results were published in the IEEE Journal of Solid-State Circuits.

In August 2023, Houmo Intelligence announced the completion of the testing and application scenario development of the first commercially available RRAM large-capacity storage chip.

There is also Yizhu Technology, which focuses on the research and development of all-digital storage and computing integrated AI high-computing power chips based on ReRAM. In 2023, Yizhu Technology successfully developed the first high-precision, low-power storage and computing integrated AI high-computing power POC chip based on memristor RRAM (ReRAM). Based on traditional process technology, it has been verified by a third-party organization that its energy efficiency performance is more than 10 times that of traditional architecture AI chips.

In addition, Weebit Nano, an Israeli-French joint venture founded in 2015, has also been focusing on ReRAM memory technology for many years.

Weebit Nano has partnered with CMOS semiconductor foundry Skywater to provide its ReRAM modules to Skywater customers and has produced a fully functional demonstration chip.

At the Flash Memory Summit in August 2023, Amir Regev, Vice President of Quality and Reliability at Weebit Nano, introduced the development trend of the embedded ReRAM market and Weebit Nano's technological progress and achievements in this field. He pointed out that by 2028, the emerging non-volatile memory (NVM) market is expected to reach US$2.7 billion, of which ReRAM is expected to occupy 37% of the market share, especially in embedded applications, as MCU shipments grow, ReRAM will occupy 60% of the wafer volume.

Currently, Weebit realizes its commercial operation model by licensing IP to semiconductor companies and wafer fabs. Its ReRAM technology has been silicon-proven at 28-130nm process nodes and has successfully completed tapeout on GlobalFoundries' 22FDX platform. It is planned to start mass production in 2024.

Weebit’s ReRAM modules manufactured at SkyWater have completed critical qualification, marking an important milestone in the maturity of ReRAM technology.

Weebit Nano said that ReRAM emerging memory is becoming mainstream.

In addition to the above-mentioned applicable fields, neuromorphic computing is another potential application area of ​​ReRAM.

Some companies such as Facebook and Google have developed machine learning systems that use neural networks. Neural networks help the system process data and recognize patterns, and many of these systems use FPGAs and GPUs with SRAM-based memory. The memory industry is developing ReRAM for this purpose, which is much denser than the GPU/SRAM architecture.

But neuromorphic systems require cascading multiple stacked ReRAM devices. Before ReRAM can enter this market, the memory industry must first master ReRAM on a small scale.

As Moore's Law gradually slows down, it becomes increasingly important to find efficient storage technologies that can meet the needs of next-generation computing. In this context, ReRAM has shown great potential.

Although ReRAM technology is promising as a next-generation memory solution, it still faces certain challenges that hinder its widespread adoption. The first is the "sneak path" problem, which causes current leakage and may lead to incorrect memory readings; second, there are concerns about the formation and stability of oxygen vacancies, which are critical to the resistive switching mechanism that ReRAM relies on; in addition, creating a uniform electric field within the memory cell for reliable switching is also a design obstacle. Finally, although ReRAM offers energy efficiency compared to traditional memories such as flash memory, enhancing this property to meet the needs of ultra-low power applications is a technical obstacle.

In the short term, ReRAM will not replace NAND or other mainstream memories, but it will find its place, especially in embedded systems and other fields that require high-performance, low-power, miniaturized and high-density storage solutions.

MRAM stands out

In addition to FeRAM and ReRAM, other emerging memory technologies such as MRAM and PCM have also been widely discussed. These technologies each have their own unique advantages and application scenarios, but also face their own challenges.

In the ever-evolving world of technology, certain innovations stand out for their potential to reshape industries and redefine performance standards. Magnetoresistive random access memory (MRAM) is one such breakthrough.

MRAM, Magnetic RAM, also known as magnetic memory, is a technology based on the tunneling magnetoresistance effect.

MRAM uses magnetic tunnel junction (MTJ) as the basic storage unit. Each MTJ consists of two layers of magnetic material sandwiched by a thin insulating layer. Depending on whether the magnetization directions of the two layers of magnetic material are consistent, the MTJ exhibits different resistance values ​​to store information. MRAM combines the high-speed read and write performance of DRAM and the non-volatile characteristics of SRAM, and has the advantages of low power consumption, high durability, wide operating temperature range, and almost unlimited erase and write cycles.

MRAM schematic diagram

MRAM technology features:

Non-volatile:The magnetism of ferromagnets will not disappear due to power failure, and MRAM is non-volatile;

Unlimited read and write times:The magnetism of ferromagnets not only does not disappear when the power is turned off, but it can almost be considered to never disappear, so MRAM can be rewritten indefinitely like DRAM;

Fast writing speed and low power consumption:MRAM has a write time as low as 2.3ns and extremely low power consumption, enabling instant power on and off and extending the battery life of portable devices.

High integration with logic chips:MRAM cells can be easily embedded in logic circuit chips, and only one or two steps of photolithography mask processing are needed in the back-end metallization process. In addition, MRAM cells can be completely made in the metal layer of the chip, and even 2-3 layers of cells can be stacked, so it has the potential to construct large-scale memory arrays on logic circuits.

MRAM research focuses on improving storage density, reducing write energy, increasing read and write speeds, and improving the compatibility and cost efficiency of the manufacturing process. STT-MRAM has become a research hotspot due to its lower write current and better scalability, while SOT-MRAM has attracted attention for its potential to achieve lower power consumption and faster switching speeds.

Compared with traditional technologies, MRAM is a non-volatile memory that is faster, more durable and consumes less power. It has gained significant attention and application in various industries such as automotive, industrial, wearable devices, aerospace and defense.

Typically, patent applications are a clear sign that a technology is receiving a lot of attention, i.e. the more commercially relevant a technology is, the greater the number of patent applications.

According to LexisNexis data, the number of patent applications in the MRAM market remained stable between 2004 and 2013, with about 300 to 400 patent applications each year. A peak occurred in 2011. Further investigation revealed that Toshiba increased its patent applications in this technology field in 2011, which led to this surge.

Patent application trends and IEEE publications in the field of MRAM over the past 20 years

It is important to note that the dip at the end of the graph does not represent a drop in interest, but rather a lag between patent filings and publications.

It is understood that considering the size of the patent portfolio, LexisNexis divides the top 10 companies into three categories:

Strong patent portfolio owners: Samsung, Kioxia and TSMC;

Followers: TDK and IBM;

Other companies.

Quality of the top 10 companies in the MRAM field by patent portfolio strength

MRAM, commercial potential is prominent

Looking back at the development history of MRAM, as early as 2002, TSMC signed an MRAM cooperative development plan with Taiwan Industrial Technology Research Institute.

The first commercialized MRAM was the 4Mb Toggle-MRAM produced by Freescale Semiconductor in 2006; companies such as Honeywell and Cobham have also launched related products; Toshiba announced in 2014 that it would replace SRAM with STT-MRAM, reducing the power consumption of cache in microprocessors by nearly 60%; in 2017, Beijing University of Aeronautics and Astronautics and the Institute of Microelectronics of the Chinese Academy of Sciences successfully produced the country's first 80-nanometer STT-MRAM device.

In June 2023, the spin quantum materials and devices research group of the Shenzhen Songshan Lake Materials Laboratory, in collaboration with UCLA, King Abdullah University of Science and Technology, University of Electronic Science and Technology of China, and the Institute of Physics of the Chinese Academy of Sciences, realized a SOT-MRAM memory device based on a combination of topological insulators and perpendicular magnetic anisotropic tunnel junctions (pMTJs) with higher storage density. TSMC has even developed related MRAM product lines such as the 16/12 nanometer process.

Also in 2023, NXP and TSMC collaborated to develop the industry's first automotive 16nm FinFET embedded MRAM to support next-generation automotive architectures. This collaboration highlights the growing importance of MRAM in the automotive field, with the goal of improving the performance and reliability of advanced automotive applications.

On January 18 this year, TSMC and ITRI announced the successful development of SOT-MRAM array chips, marking a major breakthrough in the next-generation MRAM memory technology. This innovative product not only uses advanced computing architecture, but also consumes only 1% of the power of similar technology STT-MRAM.

In addition, TSMC is actively exploring SOT-MRAM and VC-MRAM, and is working with external research laboratories, consortiums, and academic partners.

Top 10 companies with the highest innovation maturity in the MRAM field

Samsung announced its MRAM development plan in 2002, almost at the same time as TSMC. In 2005, Samsung took the lead in developing STT-MRAM, which was later proven to meet the performance requirements of the last-level cache in the field of high-performance computing and was considered a powerful tool for breaking through the niche market.

In early 2022, Samsung Electronics published the world's first MRAM-based in-memory computing research in the top academic journal Nature. At SFF 2023 held in Europe, Samsung announced its vision to revolutionize next-generation automotive technology and plans to develop Samsung's first 5nm eMRAM. In addition to launching 14nm eMRAM by 2024, the company plans to further expand its eMRAM product portfolio, launching 8nm by 2026 and 5nm by 2027. Compared with the 14nm process, 8nm eMRAM is expected to increase density by 30% and speed by 33%.

Among all these emerging memory technologies, MRAM is one of the technologies with greater commercial potential.

Although MRAM memory has advantages such as durability and mass production, it is not without disadvantages. It still faces many challenges, such as complex real device material system, low switching ratio, and complete matching of CMOS process. In addition, the development of MRAM still encounters bottlenecks in dynamic power consumption, energy delay efficiency and reliability.

In general, MRAM is still some distance away from technological maturity, and its cost advantage has not yet been highlighted. In addition, other emerging storage technologies are also under development. It can only be said that MRAM is the more promising one at present. As for completely replacing DRAM or NAND, MRAM still has a long way to go.

PCM, opening a new computing paradigm

PCM, Phase-change RAM, also known as phase change memory or PCRAM.

The principle of PCM is to change the temperature to allow the phase change material to switch between a low-resistance crystalline (conductive) state and a high-resistance amorphous (non-conductive) state, and use the difference in conductivity between the two states to distinguish between "0 and 1", thereby achieving data storage.

PCM Schematic

PCM has the non-volatility of NAND and the high read and write speed and long life of DRAM. It also has the advantages of low latency, high density, low power consumption, and compatibility with CMOS process. It has the possibility of combining external memory and main memory into one, and is expected to be used in high-performance data centers, servers, the Internet of Things and other scenarios in the future.

PCM technical features:

Low latency and balanced read and write time:PCM does not need to erase the previous code or data before writing the updated code, so the PCM read and write speed is higher than NAND Flash, and the read and write time is more balanced;

Long lifespan:PCM reading and writing are non-destructive, so its write endurance is far greater than that of flash memory. Using PCM to replace traditional mechanical hard disks is more reliable.

Low power consumption:PCM has no mechanical rotating device and does not require refresh current to save code or data, so the power consumption of PCM is lower than that of HDD, NAND, and DRAM;

High density:Some PCMs use a non-transistor design to achieve high-density storage;

Good radiation resistance:PCM storage technology has nothing to do with the state of charged particles in the material, so it has strong resistance to space radiation and can meet the needs of national defense and aerospace.

At present, PCM has not yet found a clear physical limit. Studies have shown that even if the thickness of the phase change material is reduced to 2nm, the memory device can still undergo phase change. Therefore, PCM may solve the physical limit problem of memory technology and become one of the general-purpose new generation semiconductor memory devices in the future.

In 2006, Intel and Samsung collaborated to produce the first commercial PCM chip. In 2015, Intel and Micron jointly developed a revolutionary PCM memory chip, 3D Xpoint. The former named the technology Optane and the latter QuantX.

3D Xpoint technology has achieved a revolutionary breakthrough in the field of non-volatile memory. Although it is slightly slower than DRAM, its capacity is higher than DRAM and 1,000 times faster than flash memory.

But its disadvantages are also obvious. 3D Xpoint uses a stacked structure. The more stacked layers there are, the more masks are needed, and in the entire IC manufacturing industry, mask costs account for the largest proportion. Therefore, from a manufacturing perspective, it is very difficult to achieve a 3D stacked structure with dozens of layers.

With the closure of Intel's Optane memory business, 3D XPoint memory technology has also come to an end.

However, the industry is still developing PCM technology. In early 2022, the Information Storage Materials and Devices Institute (ISMD) of the School of Integrated Circuits of Huazhong University of Science and Technology and the Center for Materials Innovation and Design (CAID) of Xi'an Jiaotong University developed a phase change memory with a mesh amorphous structure, with power consumption of less than 0.05pJ, which is a thousand times lower than that of mainstream products.

In April this year, the Korea Advanced Institute of Science and Technology announced that a research team led by Professor Shinhyun Choi of the School of Electrical Engineering had developed a next-generation phase-change memory device. The relevant results have been published in the top journal Nature. The title of the paper is: "Phase-change memory via a phase-changeable self-confined nano-filament."

The paper introduces a novel PCM device that uses phase-changeable SiTex nanowires to effectively reduce the PCM reset current. This innovative design can significantly reduce the reset current without sacrificing manufacturing cost. Specifically, the developed nanowire PCM exhibits an ultra-low reset current of about 10μA, which is one to two orders of magnitude lower than highly scaled traditional PCM.

This breakthrough in PCM technology marks a major step forward in enabling new computing paradigms, especially for applications that can benefit from PCM’s unique properties.

Although PCM has many advantages, it also has some obvious shortcomings. At this stage, PCM has many application bottlenecks, which has led to stagnation in commercialization. First, because the PCM storage process relies on temperature regulation and is highly sensitive to temperature, it cannot be used in wide temperature scenarios. Secondly, PCM memory adopts a multi-layer structure to be compatible with CMOS process, resulting in too low storage density and unable to meet the capacity requirements of replacing NAND Flash. In addition, cost and yield have also become one of the bottlenecks for its large-scale industrialization.

Final Thoughts

For years, the memory industry has been searching for a new storage technology.Whether it is FeRAM, ReRAM, MRAM or PCM, they all aim to solve the "performance wall" and "storage wall" problems of traditional storage to a certain extent, break the von Neumann architecture, eliminate the delay and power consumption caused by data access, and achieve higher computing power and energy efficiency. However, the specific technical characteristics and commercialization degree of the four emerging storages are different.

Comparison between mainstream storage technology and emerging storage technology

Combining the characteristics of various types of technologies and the analysis of the current market situation, these emerging technologies do not currently have the ability to replace DRAM/NAND flash memory. However, in the era of explosive data growth, emerging storage will be expected to fill the gap in the memory market and become a new choice in the memory field with its excellent characteristics such as super performance, ultra-long life, reliability and high temperature resistance.

As mentioned at the beginning of the article, the drawbacks of continuous technological innovation in the current traditional path have been exposed. The market is in urgent need of memory products that can meet the needs of new scenarios, and emerging storage has ushered in a window of opportunity.

However, it is important to be aware that in the process of emerging storage development, the memory industry is still continuing to extend DRAM and NAND flash memory, making it difficult for new memory types to gain a foothold in the market.

Looking ahead, industry experts say no single memory type is all-powerful and can handle all applications. Each technology has different attributes and excels at performing different functions. It is expected that these advanced storage technologies will be first used in applications that can reflect and utilize their unique advantages.