uutiset

näkymät |. kehittyneiden pakkausten sinikon poistaminen on haitallista

2024-08-31

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

◇ edistyksellisellä pakkaustekniikalla on keskeinen rooli tekoälyteknologian vallankumouksen edellyttämissä tekoälysiruissa.
nykyisen globaalin puolijohdeteollisuusketjun todellisuus on, että yli 60 % siruista on lähetettävä kiinaan ja yli 90 % siruista on lähetettävä aasiaan pakkaamista ja testausta varten ennen kuin niitä voidaan myydä globaaleilla markkinoilla. mukaan lukien länsimaat.
malesian lisäksi japani, singapore, vietnam, filippiinit, intia jne. ovat myös tärkeitä kohteita yhdysvalloille rakentaa ulkomaisia ​​puolijohdevalmistustukikohtia.
tällä hetkellä kehittyneiden pakkausten alalla kiina ja yhdysvallat ovat samalla lähtöviivalla. yhdysvaltojen puolijohteiden miniatyrisoinnin alalla viime vuosikymmeninä keräämiä etuja ei voida enää käyttää kiinan rajoittamiseen edistyneiden pakkausten alalla.
teksti |. tan xiaojian
henkilökunta tarkastaa lidar-sirun suzhou optoelectronic technology research instituten julkisen palvelun alustan pakkaamisessa ja testaamisessa (kuvattu 2. kesäkuuta 2024) kuva: li ga/this journal
ei kauan sitten yhdysvaltain kauppaministeriö julkaisi ilmoituksen, jossa se ilmoitti perustavansa ensimmäisen 1,6 miljardin dollarin innovaatiosijoitusrahaston usa:n edistyneen puolijohdepakkausteollisuuden toteuttamisen ja päivittämisen toteuttamiseksi ja nopeuttamiseksi. miksi kehittynyt pakkaustekniikka on niin tärkeää, koska se on puolijohdeteknologian tutkimukselle ja kehitykselle omistettu chip and science act -rahoitusohjelman tärkein kohde? mikä on yhdysvaltojen tarkoitus siihen liittyvillä aloilla?
kehittyneiden pakkausten nousu
siruteknologian kehityksestä puhuttaessa "mooren laki" on väistämätön termi. perusmerkitys on: yksikköintegroituun piiriin mahtuvien transistorien määrä kaksinkertaistuu 18-24 kuukauden välein.
"mooren laki" on empiirinen laki, jonka ehdotti gordon moore, fairchild semiconductor companyn (intelin edeltäjä) tutkimus- ja kehityslaboratorion johtaja vuonna 1965. se heijastaa digitaalisen teollisuuden jatkuvaa kehitystarpeita siruteknologian alalla ja on myös liiketoimintastrategiat siruyrityksille säilyttääkseen johtavan asemansa.
toisaalta sirujen suorituskyvyn jatkuva parantaminen on edistänyt yhdysvaltain digitaaliteollisuuden jatkuvaa kasvua. kun teknologiajätit maistavat digitaalisen teknologian tuomia markkinahyötyjä, ne puolestaan ​​investoivat valtavia summia tukeakseen yhdysvaltalaisia ​​siruyrityksiä seuraavan sukupolven sirujen kehittämisessä, jotta yhdysvaltalaiset siruyritykset uskaltaisivat sijoittaa valtavia summia teknologiseen parantamiseen ja innovaatioihin. .
toisaalta teknologian jatkuva päivitys on johtanut myös sirujen hintojen jatkuvaan laskuun teknisten indikaattoreiden pysähtyessä. vapauttamalla tämän odotuksen hinnanalennuksista markkinoille yhdysvallat tukahduttaa yhdysvaltalaisten siruyhtiöiden kilpailijat mitä pidemmälle ne jäävät jälkeen, sitä suuremmat ovat niiden tappiot, ja ne voivat jopa mennä konkurssiin, koska he eivät pysty tulemaan toimeen.
nämä kaksi näkökohtaa toimivat yhdessä luodakseen tuloksen "vahva tulee aina olemaan vahva" puolijohdealalla. "mooren laki" ei ole vain teollisuuslaki, vaan se myös auttaa yhdysvaltoja säilyttämään hallitsevan asemansa tietotekniikan alalla.
syy, miksi yhdysvallat on varma, että se voi tulla "mooren lain" päävoittaja pitkällä aikavälillä, johtuu siitä, että se näkee "puolijohteiden miniatyrisoinnin" kaupallisen teknologian kehityspolun ja uskoo, että tämä tie voi kestää vuosikymmeniä - suunnittelu ja sirujen valmistus niin kauan kuin jatkamme piirin koon pienentämistä ja lyhyemmän aallonpituuden käyttöä litografiassa, voimme parantaa sirun suorituskykyä suhteellisen vakaasti ja pienemmillä kustannuksilla ja maksimoida voitot jokaisella prosessilla. kutistua ja saavuttaa nopean kehityksen.
kuitenkin vuoden 2010 tienoilla, kun "puolijohteiden miniatyrisointi" lähestyi edelleen fyysisiä rajojaan, "mooren lakia" tukevat taloudelliset tarpeet ja liiketoimintastrategiat alkoivat horjua.
toisaalta pienoiskomponenttien kehittämisen kustannukset jatkavat nousuaan. finfield effect transistor (finfet) -teknologia otettiin käyttöön 16 nm:n prosessia kehitettäessä, äärimmäinen ultravioletti (euv) -litografiatekniikka otettiin käyttöön 7 nm:n prosessia kehitettäessä, ja nyt all-around gate transistori (gaa) -tekniikka otetaan käyttöön alle 5 nm:n prosesseja kehitettäessä. .. jokaisen teknologisen innovaation taustalla on suuri määrä teknistä lisätutkimusta. t&k-investoinnit eivät ole vain kasvamassa, vaan myös teknisten lisätutkimusten tiheys lisääntyy ja uusien prosessilastujen voittomarginaali kasvaa. lasku.
toisaalta puolijohteiden miniatyrisoinnin tuoma sirujen suorituskyvyn paraneminen on tullut yhä "mauttomammaksi". tsmc:n teknologiasuunnitelman mukaan 3 nm:n sirujen transistorin logiikkatiheys on 1,7 kertaa suurempi kuin 5 nm:n siruilla, mutta niiden suorituskyky on parantunut vain 11 %. verrattuna edelliseen miniatyrisointiin, jonka suorituskyky on parantunut lähes 50 % kutistunut.
tämä on saanut siruja valmistavat yritykset harkitsemaan huolellisesti t&k-investointejaan alhaisemman prosessin siruihin ja etsimään sen sijaan muita teknologian kehityspolkuja kuin "mooren lakia". tätä taustaa vasten edistynyt pakkaustekniikka alkaa saada yhä enemmän huomiota.
jos puolijohteiden miniatyrisointi keskittyy "sirun sisäiseen integrointiin", edistynyt pakkaus keskittyy "sirujen väliseen integrointiin" - käyttämällä erityisiä pakkausmenetelmiä sirujen ja ulkoisten komponenttien integroinnin parantamiseksi, jotta useat sirut integroidaan orgaaniseksi kokonaisuudeksi. laskentatoiminnot, jotka alun perin suoritti yksi prosessorisiru, mikä saavuttaa tavoitteen jatkaa laskentayksiköiden suorituskyvyn parantamista "mooren lain" ulkopuolella. puolijohdeteollisuus kutsuu tätä "super-moore-reitiksi". samaan aikaan tutkimus- ja kehitystyö puolijohteiden miniatyrisoimiseksi jatkuu, joka tunnetaan nimellä "deep moore route", mutta se ei ole enää ainoa tapa parantaa puolijohteiden suorituskykyä entiseen tapaan, ja sen läpimurtonopeus hidastuu vähitellen. tätä uutta puolijohteiden kehityksen aikakautta, joka eroaa merkittävästi aiemmasta "mooren lain" aikakaudesta, joka perustui yksinomaan puolijohteiden pienentämiseen, kutsutaan "mooren jälkeiseksi aikakaudeksi".
on syytä mainita, että edistyneellä pakkaustekniikalla on keskeinen rooli meneillään olevan tekoälyteknologian vallankumouksen edellyttämissä ai-siruissa. esimerkiksi tällä hetkellä tekoälyn alalla loistava nvidia h100 -siru käyttää tsmc:n kehittämää cowosin edistynyttä pakkausteknologiaa. periaatteena on asettaa välikerroksinen piikantoalus laskentasirun ja muistisirun väliin niiden yhdistämiseksi ja pakkaamiseksi orgaanisesti yhteen, mikä lisää merkittävästi nopeutta, jolla siru pääsee käsiksi ulkoiseen dataan. tätä edistyksellisen pakkauksen avulla aikaansaatua nopeaa sirujen välistä yhteyttä kutsutaan suuren kaistanleveyden muistitekniikaksi (hbm). hbm-väylässä on myös ulkoinen liitäntä, jolla voidaan kytkeä useampia ulkoisia siruja sarjaan. sen teoreettinen siirtonopeus voi olla jopa 450 gt sekunnissa, mikä on kymmeniä kertoja aikaisempien sirujen ja ulkoisen datan väliseen tiedonsiirtoon verrattuna. vielä paljon parantamisen varaa.
voidaan sanoa, että kehittynyt pakkaustekniikka on "keinoälyn aikakauden" ja "mooren jälkeisen aikakauden" risteyksessä ilman kehittynyttä pakkaustekniikkaa tekoälysirut eivät pystyisi saavuttamaan niin suurta edistystä puolijohdeteknologia myös hidastuisi.
amerikan kriisin tunne
perinteinen pakkaaminen ja testaus viimeisenä prosessina ennen sirun lähtöä tehtaalta on aina ollut teollisen ketjun lopussa. sen tuottojen lisäksi sitä pidettiin myös matalan teknologian ja työvoimavaltaisena toimialana. 1970- ja 1980-luvuilla, kun yhdysvallat siirsi osan puolijohdeteollisuustaan ​​aasiaan "fabless"- ja "offshoring"-liiketoimintastrategioiden mukaisesti, sirujen pakkaus- ja testausprosessi ulkoistettiin melkein ensimmäisenä ensin etelä-koreaan taiwan, kiina, ja muutti sitten manner-kiinaan sekä kaakkois-aasian maihin, kuten malesiaan ja vietnamiin.
vuonna 1978 valtion vahvalla tuella kiinalaiset yritykset ottivat käyttöön japanilaisen toshiban 5 mikronin sirupakkaus- ja testaustuotantolinjan, joka aloitti kotimaani sirupakkaus- ja testausteollisuuden kehitysprosessin. nykyään jotkin mantereen sirupakkaus- ja testausvalmistajat ovat kehittyneet kansainvälisiksi pakkaus- ja testausyrityksiksi, joiden vuotuinen liikevaihto on kymmeniä miljardeja yuaneja. niiden osuus maailman sirupakkaus- ja testausmarkkinoista on lähes 20 %, toiseksi vain taiwanilaisten yritysten, kuten ase:n, jälkeen. . tällä hetkellä taiwanin salmen molemmin puolin toimivat yritykset muodostavat yhdessä yli 60 % maailman sirupakkaus- ja testausmarkkinoista. amerikkalaisilla, eurooppalaisilla, japanilaisilla ja korealaisilla yrityksillä on kuitenkin suhteellisen heikko asema sirupakkausten ja testauksen alalla. vain amkor technologyn (amkor) osuus maailmanlaajuisesta markkinaosuudesta on noin 14 %, ja loput ovat vähemmän. yli 1 % ja amkor technologyn pakkaus- ja testaustehtaat myös se rakennettiin aasian maahan ja sillä on vain teknologian tutkimus- ja kehitysosasto yhdysvalloissa.
nykyisen globaalin puolijohdeteollisuusketjun todellisuus on, että yhdysvalloilla on etu teollisuuden ketjun alkupäässä, kuten sirusuunnittelu- ja valmistustyökaluissa, kun taas kiina on tiukasti mukana pakkaus- ja testauslenkissä teollisuusketjun lopussa. yli 60 % siruista on lähetettävä kiinaan ja yli 90 % siruista on lähetettävä aasiaan pakkaamista ja testausta varten, ennen kuin niitä voidaan myydä maailmanlaajuisilla markkinoilla, mukaan lukien länsimaissa. koska kehittyneellä pakkaustekniikalla on yhä tärkeämpi rooli "mooren jälkeisellä aikakaudella" ja "keinoälyn aikakaudella", tämä teollisuusmaisema on herättänyt valppautta yhdysvalloissa.
marraskuussa 2023 ensimmäinen us chip and science actin alainen t&k-investointiprojekti investoitiin edistykselliseen pakkausteknologiaan. lakiehdotuksessa osoitetaan erityisesti 3 miljardia dollaria lastupakkausyritysten rahoittamiseen yhdysvalloissa. suunnitelma on nimeltään "national advanced packaging manufacturing plan". yhdysvaltain kauppaministeriö julkaisi 9. heinäkuuta 2024 ilmoituksen, jossa se ilmoitti käynnistävänsä ohjelman ensimmäisen 1,6 miljardin dollarin t&k-rahoituspalkinnon, joka kattaa viisi edistyneen pakkausteknologian ala-aluetta. kukin t&k-innovaatiopalkinto myönnetään enintään 150 miljoonaa dollaria.
yhdysvaltojen pyrkimystä "strategiseen itsenäisyyteen" on vaikea saavuttaa
amerikkalaiset yritykset ovat poliittisten piirien ohjaamia lisänneet käyttöönottoaan edistyneessä pakkausteollisuudessa.
joulukuussa 2023 amkor technology ilmoitti käyttävänsä 2 miljardia dollaria kehittyneen pakkaus- ja testaustehtaan rakentamiseen peoriaan, arizonaan. apple ilmaisi tukensa välittömästi sanoen, että applesta tulee pakkaus- ja testaustehtaan ensimmäinen ja suurin asiakas. intel on myös jatkanut ponnistelujaan teknologian tutkimukseen ja kehittämiseen, kehittänyt itsenäisesti foverosin edistyneen pakkausteknologian ja julkistanut uuden siruarkkitehtuurin mukautumaan tähän tekniikkaan.
amerikkalaiset yritykset ovat myös uskaltaneet ulkomaille. intel ilmoitti elokuussa 2023, että se investoi voimakkaasti rakentaakseen ensimmäisen ulkomaisen edistyneen pakkaus- ja testaustehtaan sen teknologiaan perustuvan penangiin, malesiaan. joulukuussa 2023 nvidia ilmoitti rakentavansa pilvilaskentakeskuksen johoriin, malesiaan. yhdessä amerikkalaisten yritysten, kuten amd:n ja micronin, virran kanssa malesian sirupakkaus- ja testausteollisuuden kehitys on kiihtynyt. malesian lisäksi japani, singapore, vietnam, filippiinit, intia jne. ovat myös tärkeitä kohteita yhdysvalloille ulkomaisten puolijohdevalmistajien perustamiseen.
yhdysvaltojen voi olla vaikea saavuttaa "strategisen itsenäisyyden" tavoitetta tämän avulla.
ensinnäkin, tällä hetkellä yhdysvaltojen tehtaiden korkeiden rakentamiskustannusten kaltaisten tekijöiden vuoksi yhdysvaltojen "chip and science actin" rahoittamien keskeisten hankkeiden, kuten tsmc:n arizonan tehtaan, edistyminen on viivästynyt tai jopa keskeytetty vaihtelevissa määrin; ulkomaiset yritykset investoivat myös yhdysvaltoihin, ja ne kohtaavat "sopeutumisen" yrityskulttuurin, poliittisen manipuloinnin ja muiden näkökohtien osalta.
toiseksi japanista, kaakkois-aasiasta, etelä-aasiasta ja muista maista ja alueista, kuten yhdysvalloista, puuttuu riittävä määrä korkean teknologian osaajia ja muissa paikoissa.
tämän taustalla ovat erilaiset ainutlaatuiset edut, joita on kertynyt vuosien mittaan teollisuuteen, teknologiaan, koulutukseen ja muille aloille tehtyjen pitkäaikaisten investointien kautta, mukaan lukien rikkaat ja täydelliset paikalliset teollisuustuoteresurssit, alhaiset kokonaistuotantokustannukset ja suuri tekninen väestö. on tehnyt kiinasta väistämättömän ja korvaamattoman läsnäolon kaikilla edistyneillä valmistusalueilla.
tällä hetkellä kehittyneiden pakkausten alalla kiina ja yhdysvallat ovat samalla lähtöviivalla. yhdysvaltojen puolijohteiden miniatyrisoinnin alalla viime vuosikymmeninä keräämiä etuja ei voida enää käyttää kiinan rajoittamiseen edistyneiden pakkausten alalla. yhdysvaltojen "kiinalaistuksen poistamisen" edistäminen kehittyneiden pakkausten alalla ei ole ainoastaan ​​mahdotonta rajoittaa maani teknologista kehitystä, vaan se myös innostaa maatani jatkamaan läpimurtoja ja vahvistamaan kilpailukykyä maailmanlaajuisessa puolijohdeteollisuusketjussa. ja globaaleilla markkinoilla.
(kirjoittaja on apulaistutkija kiinan nykyaikaisten kansainvälisten suhteiden instituutin tiede- ja teknologia- ja kyberturvallisuusinstituutissa sekä tekoälyprojektista vastaava henkilö)
("lookout" -numero 36, 2024)
raportti/palaute