news

what does "overlay ≤8nm" mean for domestic lithography machines?

2024-09-22

한어Русский языкEnglishFrançaisIndonesianSanskrit日本語DeutschPortuguêsΕλληνικάespañolItalianoSuomalainenLatina

our reporter li yuyang reports from shanghai

the wavelength is 193nm (nanometer), the resolution is ≤65nm, the overlay is ≤8nm... recently, a document from the ministry of industry and information technology once again brought domestic lithography machines into the public eye, and even announced the "breaking news" that domestic duv lithography machines have broken through the 8nm process.

on september 9, the wechat public account "gongxin weibao" under the ministry of industry and information technology pushed the notification document issued by the ministry of industry and information technology on september 2 regarding the issuance of the "guidelines for the promotion and application of the first set of major technological equipment (2024 edition)". the first item of "special electronic equipment" in the notification document, namely "integrated circuit production equipment", clearly mentioned the technical indicators of krypton fluoride (krf) lithography machines and argon fluoride (arf) lithography machines, especially the argon fluoride lithography machine. the document indicates that its overlay accuracy is ≤8nm.

china business news reporters noticed that after the document was released, there was a lot of talk about the breakthrough of domestic lithography machines, and some people mistakenly thought that "overlay ≤8nm" was an 8nm lithography machine. in fact, overlay accuracy refers to the alignment accuracy between each lithography layer, and an overlay accuracy of ≤8nm does not necessarily mean that 8nm chips can be manufactured.

"the lithography machine can engrave less than 8 nanometers, and the logically corresponding range can also reach the mature range, or even higher. but don't expect it to cover the processor process used in mobile phones, as it is mainly aimed at the mature range." wang ruchen, a senior observer of the semiconductor industry, told reporters that the ministry of industry and information technology's document form not only includes argon fluoride lithography machines, but also supporting equipment. it is a small ecosystem. "looking at the process nodes, they all focus on the mature range, especially 28 nanometers."

ways to make chips with smaller line widths

it should be pointed out that as early as june 20, the ministry of industry and information technology issued the "guidelines for the promotion and application of the first set of major technological equipment (2024 edition)" for public announcement. in the "integrated circuit production equipment" column, there is a krypton fluoride lithography machine and an argon fluoride lithography machine.

then in september, the ministry of industry and information technology issued another notice, which was officially announced to the public. according to different light sources, lithography machines can be divided into three types: uv (ultraviolet), duv (deep ultraviolet) and euv (extreme ultraviolet). the krypton fluoride lithography machine and argon fluoride lithography machine mentioned in the notice are both fourth-generation duv lithography machines.

at present, lithography machines have undergone five generations of development. with the wavelength increasing from the earliest 436nm to the latest 13.5nm, the chip process has gradually reached 3nm, which is close to the limit.

there are two key factors in the performance of a lithography machine: one is the wavelength of the lithography machine, and the other is the numerical aperture (na) of the objective lens system. according to the well-known formula - rayleigh criterion, cd = k1*λ/na. cd represents the line width, that is, the minimum feature size that can be achieved on the chip; λ represents the wavelength of the light source used by the lithography machine, and na refers to the numerical aperture of the lithography machine objective lens, that is, the angle range of the lens to collect light; k1 is a coefficient that depends on many factors related to the chip manufacturing process.

according to this formula, if you want to manufacture a chip with a smaller line width, that is, a smaller cd value, you can use a light source with a shorter wavelength, an objective lens with a larger numerical aperture, and a lower k1 value.

for example, the euv lithography machine of asml, a dutch semiconductor equipment manufacturer, has a light source wavelength of only 13.5nm. at the same time, asml is also constantly improving the aperture of the lithography machine for the manufacture of 7nm or even higher process chips.

adding ultrapure water between the objective lens and the wafer of the lithography machine and using water as a medium not only shortens the wavelength of the light source in disguise, but also increases the na value in disguise. this type of lithography machine with ultrapure water added is called an immersion lithography machine, and thus the duv lithography machine can also reach the ceiling of optical resolution.

however, although immersion lithography is easy in theory, its engineering implementation is quite troublesome. during his tenure at tsmc, lin benjian, known as the "father of immersion lithography", spent two years and 7-8 revisions on the immersion system alone before achieving a breakthrough. industry insiders said that the difficulty of developing an immersion lithography machine is equivalent to shooting a target on earth from the moon.

what is the level of resolution ≤65nm and overlay ≤8nm?

in addition to the above methods, multiple exposure is also a technology to improve the manufacturing process of lithography machines. for example, the resolution of asml's immersion duv lithography machine nxt:1980 is ≤38nm, but it can support the production of tsmc's first-generation 7nm process, relying on multiple exposure technology.

as an important technical indicator of lithography machines, overlay accuracy usually refers to the "highest accuracy that can be achieved by multiple exposures". it determines the minimum error of physical displacement between each exposure and directly affects the quality and efficiency of the multi-layer exposure process. as the process nodes continue to scale to 14nm, 10nm, and 7nm, multiple exposures have become a necessary means.

so, what is the limit of the arf lithography machine (light source wavelength 193nm, resolution ≤65nm, overlay ≤8nm) in the notification document? at what level? what is the limit of the process?

overall, the performance of this type of domestic arf lithography machine is close to that of the arf lithography machine twinscan xt:1460k (resolution ≤65nm, overlay accuracy <5nm) shipped by asml in the second quarter of 2015. based on the 1:3 relationship between overlay accuracy and mass production process, this lithography machine can theoretically mass produce chips with a 28nm process.

however, industry insiders believe that the domestic arf lithography machine may not meet the resolution requirements of a "28nm lithography machine" due to the larger overlay accuracy error. in general, the domestic duv lithography machine exposed this time should be an improved version of the previous 90nm resolution domestic lithography machine, which can be used for the manufacturing needs of 55-65nm mature process chips.

"the miit table includes not only argon fluoride lithography machines, but also supporting equipment, which is a small ecosystem. when looking at process nodes, they all focus on mature areas, especially 28 nanometers." wang ruchen said that if large-scale promotion and fab (wafer factory) are successfully mass-produced, china will have greater or real autonomy in addition to scenarios such as mobile phones, "which is enough for most civilian, industrial and national defense scenarios."

domestic lithography machines have taken a small step forward

as the core equipment of semiconductor manufacturing, the technical level of lithography machine directly determines the performance and quality of chips. for a long time, my country has been controlled by others in the field of lithography machine, and high-end equipment mainly relies on imports.

compared with the previous 90nm resolution domestic lithography machine, the new 65nm resolution has made some progress. of course, we still need to be aware of the gap between domestic lithography machines and foreign advanced levels.

it should be pointed out that the arf lithography machine disclosed in the notification document is still a dry duv lithography machine, rather than the more advanced immersion duv lithography machine (also known as arfi lithography machine).

for domestic lithography machine manufacturers, there are still many problems to be solved in the process of switching from dry duv to immersion duv, not just in terms of technology. although asml launched the first mass-produced immersion duv lithography machine xt:1700i in 2006, it was not until around the 2010s that it relied on immersion duv lithography machines to defeat the two lithography machine giants canon and nikon at the time and established its dominant position.

asml's financial report shows that china will become the company's second largest market in 2023. in the first and second quarters of 2024, asml's sales in china accounted for 49% of the total sales. in the second quarter, arfi accounted for 50% of the sales, exceeding euv's 31%.

in fact, asml's most advanced euv lithography machine has long been completely banned from export to china; in october last year, the united states updated its export controls on advanced chip manufacturing technology, expanding the scope of lithography machines restricted from export to china to include lithography machines that use multiple exposures to achieve advanced process capabilities.

on september 6, the dutch government announced that it would expand the scope of export controls on lithography machines to include immersion deep ultraviolet lithography equipment, "aligning" with us controls. if asml wants to export twinscan nxt: 1970i and 1980i immersion duv lithography systems to china, it must first apply for an export license from the dutch government.

industry insiders believe that asml's further tightening of the export of advanced duv is one of the factors driving the release of the latest domestic lithography machine information. in this regard, wang ruchen said: "after the united states coerced the dutch government to add an export license barrier and asml cooperated in speaking out, it was a sideways counterattack."

shenwan hongyuan securities believes that the official disclosure of the progress of core equipment has boosted market confidence, and the domestic lithography machine-related industry chain has benefited. domestic wafer fabs can expand production independently and controllably, and domestic semiconductor equipment as a whole will benefit. smic, north huachuang, amec, tuojing technology, microguide nano, shanghai microelectronics and other industry chain companies will all get development opportunities from the domestic 65nm arf lithography machine.

(editor: wu qing reviewer: li zhenghao proofreader: yan yuxia)